]> code.delx.au - gnu-emacs/blobdiff - lisp/ldefs-boot.el
(proced-mode): Redefine as just the major-mode.
[gnu-emacs] / lisp / ldefs-boot.el
index 8704a6d8ccbc7ce0f68b1bb42d60a511b35393e3..bd146c83814c66fb80214fafead68984eb5ff2ee 100644 (file)
@@ -4,7 +4,7 @@
 \f
 ;;;### (autoloads (5x5-crack 5x5-crack-xor-mutate 5x5-crack-mutating-best
 ;;;;;;  5x5-crack-mutating-current 5x5-crack-randomly 5x5) "5x5"
-;;;;;;  "play/5x5.el" (18088 55113))
+;;;;;;  "play/5x5.el" (18335 54541))
 ;;; Generated autoloads from play/5x5.el
 
 (autoload '5x5 "5x5" "\
@@ -61,16 +61,10 @@ should return a grid vector array that is the new solution.
 
 \(fn BREEDER)" t nil)
 
-;;;***
-\f
-;;;### (autoloads nil "abbrev" "abbrev.el" (18212 46004))
-;;; Generated autoloads from abbrev.el
-(put 'abbrev-mode 'safe-local-variable 'booleanp)
-
 ;;;***
 \f
 ;;;### (autoloads (list-one-abbrev-table) "abbrevlist" "abbrevlist.el"
-;;;;;;  (18088 55079))
+;;;;;;  (18335 54506))
 ;;; Generated autoloads from abbrevlist.el
 
 (autoload 'list-one-abbrev-table "abbrevlist" "\
@@ -81,7 +75,7 @@ Display alphabetical listing of ABBREV-TABLE in buffer OUTPUT-BUFFER.
 ;;;***
 \f
 ;;;### (autoloads (ada-mode ada-add-extensions) "ada-mode" "progmodes/ada-mode.el"
-;;;;;;  (18173 8195))
+;;;;;;  (18369 28761))
 ;;; Generated autoloads from progmodes/ada-mode.el
 
 (autoload 'ada-add-extensions "ada-mode" "\
@@ -142,7 +136,7 @@ If you use ada-xref.el:
 ;;;***
 \f
 ;;;### (autoloads (ada-header) "ada-stmt" "progmodes/ada-stmt.el"
-;;;;;;  (18088 55114))
+;;;;;;  (18335 54541))
 ;;; Generated autoloads from progmodes/ada-stmt.el
 
 (autoload 'ada-header "ada-stmt" "\
@@ -153,7 +147,7 @@ Insert a descriptive header at the top of the file.
 ;;;***
 \f
 ;;;### (autoloads (ada-find-file) "ada-xref" "progmodes/ada-xref.el"
-;;;;;;  (18201 33327))
+;;;;;;  (18335 54541))
 ;;; Generated autoloads from progmodes/ada-xref.el
 
 (autoload 'ada-find-file "ada-xref" "\
@@ -167,8 +161,8 @@ Completion is available.
 ;;;### (autoloads (change-log-merge add-log-current-defun change-log-mode
 ;;;;;;  add-change-log-entry-other-window add-change-log-entry find-change-log
 ;;;;;;  prompt-for-change-log-name add-log-mailing-address add-log-full-name
-;;;;;;  add-log-current-defun-function) "add-log" "add-log.el" (18210
-;;;;;;  13714))
+;;;;;;  add-log-current-defun-function) "add-log" "add-log.el" (18428
+;;;;;;  27944))
 ;;; Generated autoloads from add-log.el
 
 (defvar add-log-current-defun-function nil "\
@@ -249,7 +243,6 @@ This is just like `add-change-log-entry' except that it displays
 the change log file in another window.
 
 \(fn &optional WHOAMI FILE-NAME)" t nil)
- (define-key ctl-x-4-map "a" 'add-change-log-entry-other-window)
 
 (autoload 'change-log-mode "add-log" "\
 Major mode for editing change logs; like Indented Text Mode.
@@ -301,7 +294,7 @@ old-style time formats for entries are supported.
 \f
 ;;;### (autoloads (defadvice ad-activate ad-add-advice ad-disable-advice
 ;;;;;;  ad-enable-advice ad-default-compilation-action ad-redefinition-action)
-;;;;;;  "advice" "emacs-lisp/advice.el" (18213 13926))
+;;;;;;  "advice" "emacs-lisp/advice.el" (18424 5734))
 ;;; Generated autoloads from emacs-lisp/advice.el
 
 (defvar ad-redefinition-action 'warn "\
@@ -422,6 +415,9 @@ documentation of the advised function can be dumped onto the `DOC' file
 during preloading.
 
 See Info node `(elisp)Advising Functions' for comprehensive documentation.
+usage: (defadvice FUNCTION (CLASS NAME [POSITION] [ARGLIST] FLAG...)
+          [DOCSTRING] [INTERACTIVE-FORM]
+          BODY...)
 
 \(fn FUNCTION ARGS &rest BODY)" nil (quote macro))
 
@@ -429,7 +425,7 @@ See Info node `(elisp)Advising Functions' for comprehensive documentation.
 \f
 ;;;### (autoloads (align-newline-and-indent align-unhighlight-rule
 ;;;;;;  align-highlight-rule align-current align-entire align-regexp
-;;;;;;  align) "align" "align.el" (18088 55079))
+;;;;;;  align) "align" "align.el" (18335 54506))
 ;;; Generated autoloads from align.el
 
 (autoload 'align "align" "\
@@ -519,9 +515,13 @@ A replacement function for `newline-and-indent', aligning as it goes.
 ;;;***
 \f
 ;;;### (autoloads (outlineify-sticky allout-mode) "allout" "allout.el"
-;;;;;;  (18187 36838))
+;;;;;;  (18375 34228))
 ;;; Generated autoloads from allout.el
 
+(put 'allout-use-hanging-indents 'safe-local-variable (if (fboundp 'booleanp) 'booleanp '(lambda (x) (member x '(t nil)))))
+
+(put 'allout-reindent-bodies 'safe-local-variable '(lambda (x) (memq x '(nil t text force))))
+
 (put 'allout-show-bodies 'safe-local-variable (if (fboundp 'booleanp) 'booleanp '(lambda (x) (member x '(t nil)))))
 
 (put 'allout-header-prefix 'safe-local-variable 'stringp)
@@ -544,10 +544,6 @@ A replacement function for `newline-and-indent', aligning as it goes.
 
 (put 'allout-presentation-padding 'safe-local-variable 'integerp)
 
-(put 'allout-use-hanging-indents 'safe-local-variable (if (fboundp 'booleanp) 'booleanp '(lambda (x) (member x '(t nil)))))
-
-(put 'allout-reindent-bodies 'safe-local-variable '(lambda (x) (memq x '(nil t text force))))
-
 (put 'allout-layout 'safe-local-variable '(lambda (x) (or (numberp x) (listp x) (memq x '(: * + -)))))
 
 (put 'allout-passphrase-verifier-string 'safe-local-variable 'stringp)
@@ -593,7 +589,7 @@ variable.  We recommend customizing `allout-command-prefix' to use just
 `\\C-c' as the command prefix, if the allout bindings don't conflict with
 any personal bindings you have on \\C-c.  In any case, outline structure
 navigation and authoring is simplified by positioning the cursor on an
-item's bullet character, the \"hot-spot\" - then you can invoke allout
+item's bullet character, the \"hot-spot\" -- then you can invoke allout
 commands with just the un-prefixed, un-control-shifted command letters.
 This is described further in the HOT-SPOT Operation section.
 
@@ -614,7 +610,7 @@ This is described further in the HOT-SPOT Operation section.
 \\[allout-backward-current-level] `allout-backward-current-level'
 \\[allout-end-of-entry] `allout-end-of-entry'
 \\[allout-beginning-of-current-entry] `allout-beginning-of-current-entry' (alternately, goes to hot-spot)
-\\[allout-beginning-of-line]  `allout-beginning-of-line' - like regular beginning-of-line, but
+\\[allout-beginning-of-line]  `allout-beginning-of-line' -- like regular beginning-of-line, but
      if immediately repeated cycles to the beginning of the current item
      and then to the hot-spot (if `allout-beginning-of-line-cycles' is set).
 
@@ -632,9 +628,9 @@ This is described further in the HOT-SPOT Operation section.
 \\[allout-rebullet-current-heading] `allout-rebullet-current-heading' Prompt for alternate bullet for
             current topic
 \\[allout-rebullet-topic] `allout-rebullet-topic'   Reconcile bullets of topic and
-            its' offspring - distinctive bullets are not changed, others
+            its' offspring -- distinctive bullets are not changed, others
             are alternated according to nesting depth.
-\\[allout-number-siblings] `allout-number-siblings'  Number bullets of topic and siblings -
+\\[allout-number-siblings] `allout-number-siblings'  Number bullets of topic and siblings --
            the offspring are not affected.
            With repeat count, revoke numbering.
 
@@ -663,7 +659,7 @@ M-x outlineify-sticky       Activate outline mode for current buffer,
 \\[allout-copy-exposed-to-buffer] `allout-copy-exposed-to-buffer'
                             Duplicate outline, sans concealed text, to
                             buffer with name derived from derived from that
-                            of current buffer - \"*BUFFERNAME exposed*\".
+                            of current buffer -- \"*BUFFERNAME exposed*\".
 \\[allout-flatten-exposed-to-buffer] `allout-flatten-exposed-to-buffer'
                             Like above 'copy-exposed', but convert topic
                             prefixes to section.subsection... numeric
@@ -732,7 +728,7 @@ without changes to the allout core.  Here are key ones:
 
                             Terminology
 
-Topic hierarchy constituents - TOPICS and SUBTOPICS:
+Topic hierarchy constituents -- TOPICS and SUBTOPICS:
 
 ITEM:   A unitary outline element, including the HEADER and ENTRY text.
 TOPIC:  An ITEM and any ITEMs contained within it, ie having greater DEPTH
@@ -826,7 +822,7 @@ setup for auto-startup.
 ;;;***
 \f
 ;;;### (autoloads (ange-ftp-hook-function ange-ftp-reread-dir) "ange-ftp"
-;;;;;;  "net/ange-ftp.el" (18203 37788))
+;;;;;;  "net/ange-ftp.el" (18369 28760))
 ;;; Generated autoloads from net/ange-ftp.el
 
 (defalias 'ange-ftp-re-read-dir 'ange-ftp-reread-dir)
@@ -848,7 +844,7 @@ Not documented
 ;;;***
 \f
 ;;;### (autoloads (animate-birthday-present animate-sequence animate-string)
-;;;;;;  "animate" "play/animate.el" (18088 55113))
+;;;;;;  "animate" "play/animate.el" (18335 54541))
 ;;; Generated autoloads from play/animate.el
 
 (autoload 'animate-string "animate" "\
@@ -876,7 +872,7 @@ You can specify the one's name by NAME; the default value is \"Sarah\".
 ;;;***
 \f
 ;;;### (autoloads (ansi-color-process-output ansi-color-for-comint-mode-on)
-;;;;;;  "ansi-color" "ansi-color.el" (18169 11930))
+;;;;;;  "ansi-color" "ansi-color.el" (18335 54506))
 ;;; Generated autoloads from ansi-color.el
 
 (autoload 'ansi-color-for-comint-mode-on "ansi-color" "\
@@ -902,7 +898,7 @@ This is a good function to put in `comint-output-filter-functions'.
 ;;;***
 \f
 ;;;### (autoloads (antlr-set-tabs antlr-mode antlr-show-makefile-rules)
-;;;;;;  "antlr-mode" "progmodes/antlr-mode.el" (18203 37788))
+;;;;;;  "antlr-mode" "progmodes/antlr-mode.el" (18335 54541))
 ;;; Generated autoloads from progmodes/antlr-mode.el
 
 (autoload 'antlr-show-makefile-rules "antlr-mode" "\
@@ -938,59 +934,10 @@ Used in `antlr-mode'.  Also a useful function in `java-mode-hook'.
 
 ;;;***
 \f
-;;;### (autoloads (appt-activate appt-make-list appt-delete appt-add
-;;;;;;  appt-display-diary appt-display-duration appt-display-mode-line
-;;;;;;  appt-msg-window appt-visible appt-audible appt-message-warning-time
-;;;;;;  appt-issue-message) "appt" "calendar/appt.el" (18090 40233))
+;;;### (autoloads (appt-activate appt-make-list appt-delete appt-add)
+;;;;;;  "appt" "calendar/appt.el" (18426 29950))
 ;;; Generated autoloads from calendar/appt.el
 
-(defvar appt-issue-message t "\
-*Non-nil means check for appointments in the diary buffer.
-To be detected, the diary entry must have the format described in the
-documentation of the function `appt-check'.")
-
-(custom-autoload 'appt-issue-message "appt" t)
-
-(defvar appt-message-warning-time 12 "\
-*Time in minutes before an appointment that the warning begins.")
-
-(custom-autoload 'appt-message-warning-time "appt" t)
-
-(defvar appt-audible t "\
-*Non-nil means beep to indicate appointment.")
-
-(custom-autoload 'appt-audible "appt" t)
-
-(defvar appt-visible t "\
-*Non-nil means display appointment message in echo area.
-This variable is only relevant if `appt-msg-window' is nil.")
-
-(custom-autoload 'appt-visible "appt" t)
-
-(defvar appt-msg-window t "\
-*Non-nil means display appointment message in another window.
-If non-nil, this variable overrides `appt-visible'.")
-
-(custom-autoload 'appt-msg-window "appt" t)
-
-(defvar appt-display-mode-line t "\
-*Non-nil means display minutes to appointment and time on the mode line.
-This is in addition to any other display of appointment messages.")
-
-(custom-autoload 'appt-display-mode-line "appt" t)
-
-(defvar appt-display-duration 10 "\
-*The number of seconds an appointment message is displayed.
-Only relevant if reminders are to be displayed in their own window.")
-
-(custom-autoload 'appt-display-duration "appt" t)
-
-(defvar appt-display-diary t "\
-*Non-nil displays the diary when the appointment list is first initialized.
-This will occur at midnight when the appointment list is updated.")
-
-(custom-autoload 'appt-display-diary "appt" t)
-
 (autoload 'appt-add "appt" "\
 Add an appointment for today at NEW-APPT-TIME with message NEW-APPT-MSG.
 The time should be in either 24 hour format or am/pm format.
@@ -1010,8 +957,7 @@ the function `appt-check').  We assume that the variables DATE and
 NUMBER hold the arguments that `diary-list-entries' received.
 They specify the range of dates that the diary is being processed for.
 
-Any appointments made with `appt-add' are not affected by this
-function.
+Any appointments made with `appt-add' are not affected by this function.
 
 For backwards compatibility, this function activates the
 appointment package (if it is not already active).
@@ -1029,7 +975,7 @@ ARG is positive, otherwise off.
 \f
 ;;;### (autoloads (apropos-documentation apropos-value apropos apropos-documentation-property
 ;;;;;;  apropos-command apropos-variable apropos-read-pattern) "apropos"
-;;;;;;  "apropos.el" (18088 55080))
+;;;;;;  "apropos.el" (18410 8145))
 ;;; Generated autoloads from apropos.el
 
 (autoload 'apropos-read-pattern "apropos" "\
@@ -1125,8 +1071,8 @@ Returns list of symbols and documentation found.
 
 ;;;***
 \f
-;;;### (autoloads (archive-mode) "arc-mode" "arc-mode.el" (18163
-;;;;;;  2859))
+;;;### (autoloads (archive-mode) "arc-mode" "arc-mode.el" (18421
+;;;;;;  18853))
 ;;; Generated autoloads from arc-mode.el
 
 (autoload 'archive-mode "arc-mode" "\
@@ -1146,7 +1092,7 @@ archive.
 
 ;;;***
 \f
-;;;### (autoloads (array-mode) "array" "array.el" (18088 55080))
+;;;### (autoloads (array-mode) "array" "array.el" (18335 54506))
 ;;; Generated autoloads from array.el
 
 (autoload 'array-mode "array" "\
@@ -1217,8 +1163,8 @@ Entering array mode calls the function `array-mode-hook'.
 
 ;;;***
 \f
-;;;### (autoloads (artist-mode) "artist" "textmodes/artist.el" (18200
-;;;;;;  51267))
+;;;### (autoloads (artist-mode) "artist" "textmodes/artist.el" (18335
+;;;;;;  54543))
 ;;; Generated autoloads from textmodes/artist.el
 
 (autoload 'artist-mode "artist" "\
@@ -1423,8 +1369,8 @@ Keymap summary
 
 ;;;***
 \f
-;;;### (autoloads (asm-mode) "asm-mode" "progmodes/asm-mode.el" (18088
-;;;;;;  55114))
+;;;### (autoloads (asm-mode) "asm-mode" "progmodes/asm-mode.el" (18335
+;;;;;;  54541))
 ;;; Generated autoloads from progmodes/asm-mode.el
 
 (autoload 'asm-mode "asm-mode" "\
@@ -1449,21 +1395,10 @@ Special commands:
 
 \(fn)" t nil)
 
-;;;***
-\f
-;;;### (autoloads (assistant) "assistant" "gnus/assistant.el" (18212
-;;;;;;  21478))
-;;; Generated autoloads from gnus/assistant.el
-
-(autoload 'assistant "assistant" "\
-Assist setting up Emacs based on FILE.
-
-\(fn FILE)" t nil)
-
 ;;;***
 \f
 ;;;### (autoloads (autoarg-kp-mode autoarg-mode) "autoarg" "autoarg.el"
-;;;;;;  (18088 55080))
+;;;;;;  (18335 54507))
 ;;; Generated autoloads from autoarg.el
 
 (defvar autoarg-mode nil "\
@@ -1517,7 +1452,7 @@ etc. to supply digit arguments.
 ;;;***
 \f
 ;;;### (autoloads (autoconf-mode) "autoconf" "progmodes/autoconf.el"
-;;;;;;  (18147 59473))
+;;;;;;  (18335 54541))
 ;;; Generated autoloads from progmodes/autoconf.el
 
 (autoload 'autoconf-mode "autoconf" "\
@@ -1528,7 +1463,7 @@ Major mode for editing Autoconf configure.in files.
 ;;;***
 \f
 ;;;### (autoloads (auto-insert-mode define-auto-insert auto-insert)
-;;;;;;  "autoinsert" "autoinsert.el" (18183 58476))
+;;;;;;  "autoinsert" "autoinsert.el" (18374 12122))
 ;;; Generated autoloads from autoinsert.el
 
 (autoload 'auto-insert "autoinsert" "\
@@ -1567,7 +1502,7 @@ insert a template for the file depending on the mode of the buffer.
 \f
 ;;;### (autoloads (batch-update-autoloads update-directory-autoloads
 ;;;;;;  update-file-autoloads) "autoload" "emacs-lisp/autoload.el"
-;;;;;;  (18120 34750))
+;;;;;;  (18423 52029))
 ;;; Generated autoloads from emacs-lisp/autoload.el
 
 (put 'generated-autoload-file 'safe-local-variable 'stringp)
@@ -1604,7 +1539,7 @@ Calls `update-directory-autoloads' on the command line arguments.
 \f
 ;;;### (autoloads (global-auto-revert-mode turn-on-auto-revert-tail-mode
 ;;;;;;  auto-revert-tail-mode turn-on-auto-revert-mode auto-revert-mode)
-;;;;;;  "autorevert" "autorevert.el" (18177 7730))
+;;;;;;  "autorevert" "autorevert.el" (18410 8145))
 ;;; Generated autoloads from autorevert.el
 
 (autoload 'auto-revert-mode "autorevert" "\
@@ -1673,7 +1608,7 @@ Use `auto-revert-mode' to revert a particular buffer.
 ;;;***
 \f
 ;;;### (autoloads (mouse-avoidance-mode mouse-avoidance-mode) "avoid"
-;;;;;;  "avoid.el" (18088 55080))
+;;;;;;  "avoid.el" (18335 54507))
 ;;; Generated autoloads from avoid.el
 
 (defvar mouse-avoidance-mode nil "\
@@ -1711,35 +1646,10 @@ definition of \"random distance\".)
 
 \(fn &optional MODE)" t nil)
 
-;;;***
-\f
-;;;### (autoloads (backquote) "backquote" "emacs-lisp/backquote.el"
-;;;;;;  (18130 62047))
-;;; Generated autoloads from emacs-lisp/backquote.el
-
-(autoload 'backquote "backquote" "\
-Argument STRUCTURE describes a template to build.
-
-The whole structure acts as if it were quoted except for certain
-places where expressions are evaluated and inserted or spliced in.
-
-For example:
-
-b              => (ba bb bc)           ; assume b has this value
-`(a b c)       => (a b c)              ; backquote acts like quote
-`(a ,b c)      => (a (ba bb bc) c)     ; insert the value of b
-`(a ,@b c)     => (a ba bb bc c)       ; splice in the value of b
-
-Vectors work just like lists.  Nested backquotes are permitted.
-
-\(fn ARG)" nil (quote macro))
-
-(defalias '\` (symbol-function 'backquote))
-
 ;;;***
 \f
 ;;;### (autoloads (display-battery-mode battery) "battery" "battery.el"
-;;;;;;  (18088 55080))
+;;;;;;  (18409 42640))
 ;;; Generated autoloads from battery.el
  (put 'battery-mode-line-string 'risky-local-variable t)
 
@@ -1771,7 +1681,7 @@ seconds.
 ;;;***
 \f
 ;;;### (autoloads (benchmark benchmark-run-compiled benchmark-run)
-;;;;;;  "benchmark" "emacs-lisp/benchmark.el" (18088 55094))
+;;;;;;  "benchmark" "emacs-lisp/benchmark.el" (18335 54521))
 ;;; Generated autoloads from emacs-lisp/benchmark.el
 
 (autoload 'benchmark-run "benchmark" "\
@@ -1803,10 +1713,23 @@ non-interactive use see also `benchmark-run' and
 
 ;;;***
 \f
-;;;### (autoloads (bibtex-mode) "bibtex" "textmodes/bibtex.el" (18088
-;;;;;;  55119))
+;;;### (autoloads (bibtex-search-entry bibtex-mode bibtex-initialize)
+;;;;;;  "bibtex" "textmodes/bibtex.el" (18371 10401))
 ;;; Generated autoloads from textmodes/bibtex.el
 
+(autoload 'bibtex-initialize "bibtex" "\
+(Re)Initialize BibTeX buffers.
+Visit the BibTeX files defined by `bibtex-files' and return a list
+of corresponding buffers.
+Initialize in these buffers `bibtex-reference-keys' if not yet set.
+List of BibTeX buffers includes current buffer if CURRENT is non-nil.
+If FORCE is non-nil, (re)initialize `bibtex-reference-keys' even if
+already set.  If SELECT is non-nil interactively select a BibTeX buffer.
+When called interactively, FORCE is t, CURRENT is t if current buffer uses
+`bibtex-mode', and SELECT is t if current buffer does not use `bibtex-mode',
+
+\(fn &optional CURRENT FORCE SELECT)" t nil)
+
 (autoload 'bibtex-mode "bibtex" "\
 Major mode for editing BibTeX files.
 
@@ -1862,10 +1785,23 @@ if that value is non-nil.
 
 \(fn)" t nil)
 
+(autoload 'bibtex-search-entry "bibtex" "\
+Move point to the beginning of BibTeX entry named KEY.
+Return position of entry if KEY is found or nil if not found.
+With GLOBAL non-nil, search KEY in `bibtex-files'.  Otherwise the search
+is limited to the current buffer.  Optional arg START is buffer position
+where the search starts.  If it is nil, start search at beginning of buffer.
+If DISPLAY is non-nil, display the buffer containing KEY.
+Otherwise, use `set-buffer'.
+When called interactively, GLOBAL is t if there is a prefix arg or the current
+mode is not `bibtex-mode', START is nil, and DISPLAY is t.
+
+\(fn KEY &optional GLOBAL START DISPLAY)" t nil)
+
 ;;;***
 \f
 ;;;### (autoloads (bibtex-style-mode) "bibtex-style" "textmodes/bibtex-style.el"
-;;;;;;  (18157 34340))
+;;;;;;  (18388 34730))
 ;;; Generated autoloads from textmodes/bibtex-style.el
  (add-to-list 'auto-mode-alist '("\\.bst\\'" . bibtex-style-mode))
 
@@ -1877,9 +1813,9 @@ Major mode for editing BibTeX style files.
 ;;;***
 \f
 ;;;### (autoloads (binhex-decode-region binhex-decode-region-external
-;;;;;;  binhex-decode-region-internal) "binhex" "gnus/binhex.el"
-;;;;;;  (18212 46006))
-;;; Generated autoloads from gnus/binhex.el
+;;;;;;  binhex-decode-region-internal) "binhex" "mail/binhex.el"
+;;;;;;  (18392 19203))
+;;; Generated autoloads from mail/binhex.el
 
 (defconst binhex-begin-line "^:...............................................................$")
 
@@ -1901,8 +1837,8 @@ Binhex decode region between START and END.
 
 ;;;***
 \f
-;;;### (autoloads (blackbox) "blackbox" "play/blackbox.el" (18203
-;;;;;;  37788))
+;;;### (autoloads (blackbox) "blackbox" "play/blackbox.el" (18335
+;;;;;;  54541))
 ;;; Generated autoloads from play/blackbox.el
 
 (autoload 'blackbox "blackbox" "\
@@ -2024,33 +1960,20 @@ a reflection.
 ;;;### (autoloads (bookmark-bmenu-list bookmark-load bookmark-save
 ;;;;;;  bookmark-write bookmark-delete bookmark-insert bookmark-rename
 ;;;;;;  bookmark-insert-location bookmark-relocate bookmark-jump-other-window
-;;;;;;  bookmark-jump bookmark-set) "bookmark" "bookmark.el" (18169
-;;;;;;  11930))
+;;;;;;  bookmark-jump bookmark-set) "bookmark" "bookmark.el" (18423
+;;;;;;  51562))
 ;;; Generated autoloads from bookmark.el
  (define-key ctl-x-map "rb" 'bookmark-jump)
  (define-key ctl-x-map "rm" 'bookmark-set)
  (define-key ctl-x-map "rl" 'bookmark-bmenu-list)
 
-(defvar bookmark-map nil "\
+(defvar bookmark-map (let ((map (make-sparse-keymap))) (define-key map "x" 'bookmark-set) (define-key map "m" 'bookmark-set) (define-key map "j" 'bookmark-jump) (define-key map "g" 'bookmark-jump) (define-key map "o" 'bookmark-jump-other-window) (define-key map "i" 'bookmark-insert) (define-key map "e" 'edit-bookmarks) (define-key map "f" 'bookmark-insert-location) (define-key map "r" 'bookmark-rename) (define-key map "d" 'bookmark-delete) (define-key map "l" 'bookmark-load) (define-key map "w" 'bookmark-write) (define-key map "s" 'bookmark-save) map) "\
 Keymap containing bindings to bookmark functions.
 It is not bound to any key by default: to bind it
 so that you have a bookmark prefix, just use `global-set-key' and bind a
 key of your choice to `bookmark-map'.  All interactive bookmark
 functions have a binding in this keymap.")
- (define-prefix-command 'bookmark-map)
- (define-key bookmark-map "x" 'bookmark-set)
- (define-key bookmark-map "m" 'bookmark-set) ;"m"ark
- (define-key bookmark-map "j" 'bookmark-jump)
- (define-key bookmark-map "g" 'bookmark-jump) ;"g"o
- (define-key bookmark-map "o" 'bookmark-jump-other-window)
- (define-key bookmark-map "i" 'bookmark-insert)
- (define-key bookmark-map "e" 'edit-bookmarks)
- (define-key bookmark-map "f" 'bookmark-insert-location) ;"f"ind
- (define-key bookmark-map "r" 'bookmark-rename)
- (define-key bookmark-map "d" 'bookmark-delete)
- (define-key bookmark-map "l" 'bookmark-load)
- (define-key bookmark-map "w" 'bookmark-write)
- (define-key bookmark-map "s" 'bookmark-save)
+ (fset 'bookmark-map bookmark-map)
 
 (autoload 'bookmark-set "bookmark" "\
 Set a bookmark named NAME inside a file.
@@ -2222,7 +2145,7 @@ deletion, or > if it is flagged for displaying.
 ;;;;;;  browse-url-of-dired-file browse-url-of-buffer browse-url-of-file
 ;;;;;;  browse-url-url-at-point browse-url-galeon-program browse-url-firefox-program
 ;;;;;;  browse-url-browser-function) "browse-url" "net/browse-url.el"
-;;;;;;  (18214 4479))
+;;;;;;  (18393 27637))
 ;;; Generated autoloads from net/browse-url.el
 
 (defvar browse-url-browser-function (cond ((memq system-type '(windows-nt ms-dos cygwin)) 'browse-url-default-windows-browser) ((memq system-type '(darwin)) 'browse-url-default-macosx-browser) (t 'browse-url-default-browser)) "\
@@ -2552,8 +2475,8 @@ from `browse-url-elinks-wrapper'.
 
 ;;;***
 \f
-;;;### (autoloads (snarf-bruces bruce) "bruce" "play/bruce.el" (18088
-;;;;;;  55113))
+;;;### (autoloads (snarf-bruces bruce) "bruce" "play/bruce.el" (18335
+;;;;;;  54541))
 ;;; Generated autoloads from play/bruce.el
 
 (autoload 'bruce "bruce" "\
@@ -2569,7 +2492,7 @@ Return a vector containing the lines from `bruce-phrases-file'.
 ;;;***
 \f
 ;;;### (autoloads (bs-show bs-customize bs-cycle-previous bs-cycle-next)
-;;;;;;  "bs" "bs.el" (18211 32385))
+;;;;;;  "bs" "bs.el" (18335 54507))
 ;;; Generated autoloads from bs.el
 
 (autoload 'bs-cycle-next "bs" "\
@@ -2609,7 +2532,7 @@ name of buffer configuration.
 
 ;;;***
 \f
-;;;### (autoloads (bubbles) "bubbles" "play/bubbles.el" (18157 34340))
+;;;### (autoloads (bubbles) "bubbles" "play/bubbles.el" (18335 54541))
 ;;; Generated autoloads from play/bubbles.el
 
 (autoload 'bubbles "bubbles" "\
@@ -2617,92 +2540,6 @@ Play Bubbles game.
 
 \(fn)" t nil)
 
-;;;***
-\f
-;;;### (autoloads (insert-text-button make-text-button insert-button
-;;;;;;  make-button define-button-type) "button" "button.el" (18133
-;;;;;;  60495))
-;;; Generated autoloads from button.el
-
-(defvar button-map (let ((map (make-sparse-keymap))) (define-key map "\r" 'push-button) (define-key map [mouse-2] 'push-button) map) "\
-Keymap used by buttons.")
-
-(defvar button-buffer-map (let ((map (make-sparse-keymap))) (define-key map [9] 'forward-button) (define-key map "\e    " 'backward-button) (define-key map [backtab] 'backward-button) map) "\
-Keymap useful for buffers containing buttons.
-Mode-specific keymaps may want to use this as their parent keymap.")
-
-(autoload 'define-button-type "button" "\
-Define a `button type' called NAME.
-The remaining arguments form a sequence of PROPERTY VALUE pairs,
-specifying properties to use as defaults for buttons with this type
-\(a button's type may be set by giving it a `type' property when
-creating the button, using the :type keyword argument).
-
-In addition, the keyword argument :supertype may be used to specify a
-button-type from which NAME inherits its default property values
-\(however, the inheritance happens only when NAME is defined; subsequent
-changes to a supertype are not reflected in its subtypes).
-
-\(fn NAME &rest PROPERTIES)" nil nil)
-
-(autoload 'make-button "button" "\
-Make a button from BEG to END in the current buffer.
-The remaining arguments form a sequence of PROPERTY VALUE pairs,
-specifying properties to add to the button.
-In addition, the keyword argument :type may be used to specify a
-button-type from which to inherit other properties; see
-`define-button-type'.
-
-Also see `make-text-button', `insert-button'.
-
-\(fn BEG END &rest PROPERTIES)" nil nil)
-
-(autoload 'insert-button "button" "\
-Insert a button with the label LABEL.
-The remaining arguments form a sequence of PROPERTY VALUE pairs,
-specifying properties to add to the button.
-In addition, the keyword argument :type may be used to specify a
-button-type from which to inherit other properties; see
-`define-button-type'.
-
-Also see `insert-text-button', `make-button'.
-
-\(fn LABEL &rest PROPERTIES)" nil nil)
-
-(autoload 'make-text-button "button" "\
-Make a button from BEG to END in the current buffer.
-The remaining arguments form a sequence of PROPERTY VALUE pairs,
-specifying properties to add to the button.
-In addition, the keyword argument :type may be used to specify a
-button-type from which to inherit other properties; see
-`define-button-type'.
-
-This function is like `make-button', except that the button is actually
-part of the text instead of being a property of the buffer.  Creating
-large numbers of buttons can also be somewhat faster using
-`make-text-button'.
-
-Also see `insert-text-button'.
-
-\(fn BEG END &rest PROPERTIES)" nil nil)
-
-(autoload 'insert-text-button "button" "\
-Insert a button with the label LABEL.
-The remaining arguments form a sequence of PROPERTY VALUE pairs,
-specifying properties to add to the button.
-In addition, the keyword argument :type may be used to specify a
-button-type from which to inherit other properties; see
-`define-button-type'.
-
-This function is like `insert-button', except that the button is
-actually part of the text instead of being a property of the buffer.
-Creating large numbers of buttons can also be somewhat faster using
-`insert-text-button'.
-
-Also see `make-text-button'.
-
-\(fn LABEL &rest PROPERTIES)" nil nil)
-
 ;;;***
 \f
 ;;;### (autoloads (batch-byte-recompile-directory batch-byte-compile
@@ -2710,7 +2547,7 @@ Also see `make-text-button'.
 ;;;;;;  compile-defun byte-compile-file byte-recompile-directory
 ;;;;;;  byte-force-recompile byte-compile-enable-warning byte-compile-disable-warning
 ;;;;;;  byte-compile-warnings-safe-p) "bytecomp" "emacs-lisp/bytecomp.el"
-;;;;;;  (18213 13926))
+;;;;;;  (18417 4383))
 ;;; Generated autoloads from emacs-lisp/bytecomp.el
 (put 'byte-compile-dynamic 'safe-local-variable 'booleanp)
 (put 'byte-compile-disable-print-circle 'safe-local-variable 'booleanp)
@@ -2718,7 +2555,7 @@ Also see `make-text-button'.
 (put 'byte-compile-warnings 'safe-local-variable 'byte-compile-warnings-safe-p)
 
 (autoload 'byte-compile-warnings-safe-p "bytecomp" "\
-Not documented
+Return non-nil if X is valid as a value of `byte-compile-warnings'.
 
 \(fn X)" nil nil)
 
@@ -2726,6 +2563,8 @@ Not documented
 Change `byte-compile-warnings' to disable WARNING.
 If `byte-compile-warnings' is t, set it to `(not WARNING)'.
 Otherwise, if the first element is `not', add WARNING, else remove it.
+Normally you should let-bind `byte-compile-warnings' before calling this,
+else the global value will be modified.
 
 \(fn WARNING)" nil nil)
 
@@ -2733,6 +2572,8 @@ Otherwise, if the first element is `not', add WARNING, else remove it.
 Change `byte-compile-warnings' to enable WARNING.
 If `byte-compile-warnings' is `t', do nothing.  Otherwise, if the
 first element is `not', remove WARNING, else add it.
+Normally you should let-bind `byte-compile-warnings' before calling this,
+else the global value will be modified.
 
 \(fn WARNING)" nil nil)
 
@@ -2743,32 +2584,31 @@ Files in subdirectories of DIRECTORY are processed also.
 \(fn DIRECTORY)" t nil)
 
 (autoload 'byte-recompile-directory "bytecomp" "\
-Recompile every `.el' file in DIRECTORY that needs recompilation.
+Recompile every `.el' file in BYTECOMP-DIRECTORY that needs recompilation.
 This is if a `.elc' file exists but is older than the `.el' file.
-Files in subdirectories of DIRECTORY are processed also.
+Files in subdirectories of BYTECOMP-DIRECTORY are processed also.
 
 If the `.elc' file does not exist, normally this function *does not*
-compile the corresponding `.el' file.  However,
-if ARG (the prefix argument) is 0, that means do compile all those files.
-A nonzero ARG means ask the user, for each such `.el' file,
-whether to compile it.
-
-A nonzero ARG also means ask about each subdirectory before scanning it.
+compile the corresponding `.el' file.  However, if the prefix argument
+BYTECOMP-ARG is 0, that means do compile all those files.  A nonzero
+BYTECOMP-ARG means ask the user, for each such `.el' file, whether to
+compile it.  A nonzero BYTECOMP-ARG also means ask about each subdirectory
+before scanning it.
 
-If the third argument FORCE is non-nil,
-recompile every `.el' file that already has a `.elc' file.
+If the third argument BYTECOMP-FORCE is non-nil, recompile every `.el' file
+that already has a `.elc' file.
 
-\(fn DIRECTORY &optional ARG FORCE)" t nil)
+\(fn BYTECOMP-DIRECTORY &optional BYTECOMP-ARG BYTECOMP-FORCE)" t nil)
 (put 'no-byte-compile 'safe-local-variable 'booleanp)
 
 (autoload 'byte-compile-file "bytecomp" "\
-Compile a file of Lisp code named FILENAME into a file of byte code.
-The output file's name is generated by passing FILENAME to the
+Compile a file of Lisp code named BYTECOMP-FILENAME into a file of byte code.
+The output file's name is generated by passing BYTECOMP-FILENAME to the
 `byte-compile-dest-file' function (which see).
 With prefix arg (noninteractively: 2nd arg), LOAD the file after compiling.
 The value is non-nil if there were no errors, nil if errors.
 
-\(fn FILENAME &optional LOAD)" t nil)
+\(fn BYTECOMP-FILENAME &optional LOAD)" t nil)
 
 (autoload 'compile-defun "bytecomp" "\
 Compile and evaluate the current top-level form.
@@ -2824,14 +2664,14 @@ Must be used only with `-batch', and kills Emacs on completion.
 For example, invoke `emacs -batch -f batch-byte-recompile-directory .'.
 
 Optional argument ARG is passed as second argument ARG to
-`batch-recompile-directory'; see there for its possible values
+`byte-recompile-directory'; see there for its possible values
 and corresponding effects.
 
 \(fn &optional ARG)" nil nil)
 
 ;;;***
 \f
-;;;### (autoloads nil "cal-dst" "calendar/cal-dst.el" (18088 55093))
+;;;### (autoloads nil "cal-dst" "calendar/cal-dst.el" (18428 27944))
 ;;; Generated autoloads from calendar/cal-dst.el
 
 (put 'calendar-daylight-savings-starts 'risky-local-variable t)
@@ -2840,23 +2680,25 @@ and corresponding effects.
 
 ;;;***
 \f
-;;;### (autoloads (list-yahrzeit-dates) "cal-hebrew" "calendar/cal-hebrew.el"
-;;;;;;  (18203 38492))
+;;;### (autoloads (calendar-hebrew-list-yahrzeits) "cal-hebrew" "calendar/cal-hebrew.el"
+;;;;;;  (18427 49350))
 ;;; Generated autoloads from calendar/cal-hebrew.el
 
-(autoload 'list-yahrzeit-dates "cal-hebrew" "\
+(autoload 'calendar-hebrew-list-yahrzeits "cal-hebrew" "\
 List Yahrzeit dates for *Gregorian* DEATH-DATE from START-YEAR to END-YEAR.
 When called interactively from the calendar window, the date of death is taken
 from the cursor position.
 
 \(fn DEATH-DATE START-YEAR END-YEAR)" t nil)
 
+(define-obsolete-function-alias 'list-yahrzeit-dates 'calendar-hebrew-list-yahrzeits "23.1")
+
 ;;;***
 \f
 ;;;### (autoloads (defmath calc-embedded-activate calc-embedded calc-grab-rectangle
 ;;;;;;  calc-grab-region full-calc-keypad calc-keypad calc-eval quick-calc
 ;;;;;;  full-calc calc calc-dispatch calc-settings-file) "calc" "calc/calc.el"
-;;;;;;  (18214 4479))
+;;;;;;  (18427 64491))
 ;;; Generated autoloads from calc/calc.el
 
 (defvar calc-settings-file (convert-standard-filename "~/.calc.el") "\
@@ -2934,8 +2776,8 @@ Not documented
 
 ;;;***
 \f
-;;;### (autoloads (calculator) "calculator" "calculator.el" (18203
-;;;;;;  37786))
+;;;### (autoloads (calculator) "calculator" "calculator.el" (18335
+;;;;;;  54507))
 ;;; Generated autoloads from calculator.el
 
 (autoload 'calculator "calculator" "\
@@ -2946,597 +2788,276 @@ See the documentation for `calculator-mode' for more information.
 
 ;;;***
 \f
-;;;### (autoloads (calendar-week-start-day calendar calendar-setup
-;;;;;;  solar-holidays bahai-holidays islamic-holidays christian-holidays
-;;;;;;  hebrew-holidays other-holidays local-holidays oriental-holidays
-;;;;;;  general-holidays holidays-in-diary-buffer diary-list-include-blanks
-;;;;;;  nongregorian-diary-marking-hook mark-diary-entries-hook nongregorian-diary-listing-hook
-;;;;;;  diary-display-hook diary-hook list-diary-entries-hook print-diary-entries-hook
-;;;;;;  american-calendar-display-form european-calendar-display-form
-;;;;;;  european-date-diary-pattern american-date-diary-pattern european-calendar-style
-;;;;;;  abbreviated-calendar-year sexp-diary-entry-symbol diary-include-string
-;;;;;;  bahai-diary-entry-symbol islamic-diary-entry-symbol hebrew-diary-entry-symbol
-;;;;;;  diary-nonmarking-symbol diary-file calendar-move-hook today-invisible-calendar-hook
-;;;;;;  today-visible-calendar-hook initial-calendar-window-hook
-;;;;;;  calendar-load-hook all-bahai-calendar-holidays all-islamic-calendar-holidays
-;;;;;;  all-christian-calendar-holidays all-hebrew-calendar-holidays
-;;;;;;  mark-holidays-in-calendar view-calendar-holidays-initially
-;;;;;;  calendar-remove-frame-by-deleting mark-diary-entries-in-calendar
-;;;;;;  view-diary-entries-initially calendar-offset) "calendar"
-;;;;;;  "calendar/calendar.el" (18157 34340))
+;;;### (autoloads (calendar calendar-holidays holiday-solar-holidays
+;;;;;;  holiday-bahai-holidays holiday-islamic-holidays holiday-christian-holidays
+;;;;;;  holiday-hebrew-holidays holiday-other-holidays holiday-local-holidays
+;;;;;;  holiday-oriental-holidays holiday-general-holidays) "calendar"
+;;;;;;  "calendar/calendar.el" (18428 27944))
 ;;; Generated autoloads from calendar/calendar.el
 
-(defvar calendar-offset 0 "\
-The offset of the principal month from the center of the calendar window.
-0 means the principal month is in the center (default), -1 means on the left,
-+1 means on the right.  Larger (or smaller) values push the principal month off
-the screen.")
-
-(custom-autoload 'calendar-offset "calendar" t)
-
-(defvar view-diary-entries-initially nil "\
-Non-nil means display current date's diary entries on entry to calendar.
-The diary is displayed in another window when the calendar is first displayed,
-if the current date is visible.  The number of days of diary entries displayed
-is governed by the variable `number-of-diary-entries'.  This variable can
-be overridden by the value of `calendar-setup'.")
-
-(custom-autoload 'view-diary-entries-initially "calendar" t)
-
-(defvar mark-diary-entries-in-calendar nil "\
-Non-nil means mark dates with diary entries, in the calendar window.
-The marking symbol is specified by the variable `diary-entry-marker'.")
-
-(custom-autoload 'mark-diary-entries-in-calendar "calendar" t)
-
-(defvar calendar-remove-frame-by-deleting nil "\
-Determine how the calendar mode removes a frame no longer needed.
-If nil, make an icon of the frame.  If non-nil, delete the frame.")
-
-(custom-autoload 'calendar-remove-frame-by-deleting "calendar" t)
-
-(defvar view-calendar-holidays-initially nil "\
-Non-nil means display holidays for current three month period on entry.
-The holidays are displayed in another window when the calendar is first
-displayed.")
-
-(custom-autoload 'view-calendar-holidays-initially "calendar" t)
-
-(defvar mark-holidays-in-calendar nil "\
-Non-nil means mark dates of holidays in the calendar window.
-The marking symbol is specified by the variable `calendar-holiday-marker'.")
-
-(custom-autoload 'mark-holidays-in-calendar "calendar" t)
-
-(defvar all-hebrew-calendar-holidays nil "\
-If nil, show only major holidays from the Hebrew calendar.
-This means only those Jewish holidays that appear on secular calendars.
-
-If t, show all the holidays that would appear in a complete Hebrew calendar.")
-
-(custom-autoload 'all-hebrew-calendar-holidays "calendar" t)
-
-(defvar all-christian-calendar-holidays nil "\
-If nil, show only major holidays from the Christian calendar.
-This means only those Christian holidays that appear on secular calendars.
-
-If t, show all the holidays that would appear in a complete Christian
-calendar.")
-
-(custom-autoload 'all-christian-calendar-holidays "calendar" t)
-
-(defvar all-islamic-calendar-holidays nil "\
-If nil, show only major holidays from the Islamic calendar.
-This means only those Islamic holidays that appear on secular calendars.
-
-If t, show all the holidays that would appear in a complete Islamic
-calendar.")
-
-(custom-autoload 'all-islamic-calendar-holidays "calendar" t)
-
-(defvar all-bahai-calendar-holidays nil "\
-If nil, show only major holidays from the Baha'i calendar.
-These are the days on which work and school must be suspended.
-
-If t, show all the holidays that would appear in a complete Baha'i
-calendar.")
-
-(custom-autoload 'all-bahai-calendar-holidays "calendar" t)
-
-(defvar calendar-load-hook nil "\
-List of functions to be called after the calendar is first loaded.
-This is the place to add key bindings to `calendar-mode-map'.")
-
-(custom-autoload 'calendar-load-hook "calendar" t)
-
-(defvar initial-calendar-window-hook nil "\
-List of functions to be called when the calendar window is first opened.
-The functions invoked are called after the calendar window is opened, but
-once opened is never called again.  Leaving the calendar with the `q' command
-and reentering it will cause these functions to be called again.")
-
-(custom-autoload 'initial-calendar-window-hook "calendar" t)
-
-(defvar today-visible-calendar-hook nil "\
-List of functions called whenever the current date is visible.
-This can be used, for example, to replace today's date with asterisks; a
-function `calendar-star-date' is included for this purpose:
-    (setq today-visible-calendar-hook 'calendar-star-date)
-It can also be used to mark the current date with `calendar-today-marker';
-a function is also provided for this:
-    (setq today-visible-calendar-hook 'calendar-mark-today)
-
-The corresponding variable `today-invisible-calendar-hook' is the list of
-functions called when the calendar function was called when the current
-date is not visible in the window.
-
-Other than the use of the provided functions, the changing of any
-characters in the calendar buffer by the hooks may cause the failure of the
-functions that move by days and weeks.")
-
-(custom-autoload 'today-visible-calendar-hook "calendar" t)
-
-(defvar today-invisible-calendar-hook nil "\
-List of functions called whenever the current date is not visible.
-
-The corresponding variable `today-visible-calendar-hook' is the list of
-functions called when the calendar function was called when the current
-date is visible in the window.
-
-Other than the use of the provided functions, the changing of any
-characters in the calendar buffer by the hooks may cause the failure of the
-functions that move by days and weeks.")
-
-(custom-autoload 'today-invisible-calendar-hook "calendar" t)
-
-(defvar calendar-move-hook nil "\
-List of functions called whenever the cursor moves in the calendar.
-
-For example,
-
-  (add-hook 'calendar-move-hook (lambda () (diary-view-entries 1)))
-
-redisplays the diary for whatever date the cursor is moved to.")
-
-(custom-autoload 'calendar-move-hook "calendar" t)
-
-(defvar diary-file "~/diary" "\
-Name of the file in which one's personal diary of dates is kept.
-
-The file's entries are lines beginning with any of the forms
-specified by the variable `american-date-diary-pattern', by default:
-
-            MONTH/DAY
-            MONTH/DAY/YEAR
-            MONTHNAME DAY
-            MONTHNAME DAY, YEAR
-            DAYNAME
-
-with the remainder of the line being the diary entry string for
-that date.  MONTH and DAY are one or two digit numbers, YEAR is a
-number and may be written in full or abbreviated to the final two
-digits (if `abbreviated-calendar-year' is non-nil).  MONTHNAME
-and DAYNAME can be spelled in full (as specified by the variables
-`calendar-month-name-array' and `calendar-day-name-array'),
-abbreviated (as specified by `calendar-month-abbrev-array' and
-`calendar-day-abbrev-array') with or without a period,
-capitalized or not.  Any of DAY, MONTH, or MONTHNAME, YEAR can be
-`*' which matches any day, month, or year, respectively. If the
-date does not contain a year, it is generic and applies to any
-year.  A DAYNAME entry applies to the appropriate day of the week
-in every week.
-
-The European style (in which the day precedes the month) can be
-used instead, if you execute `european-calendar' when in the
-calendar, or set `european-calendar-style' to t in your .emacs
-file.  The European forms (see `european-date-diary-pattern') are
-
-            DAY/MONTH
-            DAY/MONTH/YEAR
-            DAY MONTHNAME
-            DAY MONTHNAME YEAR
-            DAYNAME
-
-To revert to the default American style from the European style, execute
-`american-calendar' in the calendar.
-
-A diary entry can be preceded by the character
-`diary-nonmarking-symbol' (ordinarily `&') to make that entry
-nonmarking--that is, it will not be marked on dates in the calendar
-window but will appear in a diary window.
-
-Multiline diary entries are made by indenting lines after the first with
-either a TAB or one or more spaces.
-
-Lines not in one the above formats are ignored.  Here are some sample diary
-entries (in the default American style):
-
-     12/22/1988 Twentieth wedding anniversary!!
-     &1/1. Happy New Year!
-     10/22 Ruth's birthday.
-     21: Payday
-     Tuesday--weekly meeting with grad students at 10am
-              Supowit, Shen, Bitner, and Kapoor to attend.
-     1/13/89 Friday the thirteenth!!
-     &thu 4pm squash game with Lloyd.
-     mar 16 Dad's birthday
-     April 15, 1989 Income tax due.
-     &* 15 time cards due.
-
-If the first line of a diary entry consists only of the date or day name with
-no trailing blanks or punctuation, then that line is not displayed in the
-diary window; only the continuation lines is shown.  For example, the
-single diary entry
-
-     02/11/1989
-      Bill Blattner visits Princeton today
-      2pm Cognitive Studies Committee meeting
-      2:30-5:30 Lizzie at Lawrenceville for `Group Initiative'
-      4:00pm Jamie Tappenden
-      7:30pm Dinner at George and Ed's for Alan Ryan
-      7:30-10:00pm dance at Stewart Country Day School
-
-will appear in the diary window without the date line at the beginning.  This
-facility allows the diary window to look neater, but can cause confusion if
-used with more than one day's entries displayed.
-
-Diary entries can be based on Lisp sexps.  For example, the diary entry
-
-      %%(diary-block 11 1 1990 11 10 1990) Vacation
-
-causes the diary entry \"Vacation\" to appear from November 1 through
-November 10, 1990.  Other functions available are `diary-float',
-`diary-anniversary', `diary-cyclic', `diary-day-of-year',
-`diary-iso-date', `diary-french-date', `diary-hebrew-date',
-`diary-islamic-date', `diary-bahai-date', `diary-mayan-date',
-`diary-chinese-date', `diary-coptic-date', `diary-ethiopic-date',
-`diary-persian-date', `diary-yahrzeit', `diary-sunrise-sunset',
-`diary-phases-of-moon', `diary-parasha', `diary-omer',
-`diary-rosh-hodesh', and `diary-sabbath-candles'.  See the
-documentation for the function `list-sexp-diary-entries' for more
-details.
-
-Diary entries based on the Hebrew, the Islamic and/or the Baha'i
-calendar are also possible, but because these are somewhat slow, they
-are ignored unless you set the `nongregorian-diary-listing-hook' and
-the `nongregorian-diary-marking-hook' appropriately.  See the
-documentation for these functions for details.
-
-Diary files can contain directives to include the contents of other files; for
-details, see the documentation for the variable `list-diary-entries-hook'.")
-
-(custom-autoload 'diary-file "calendar" t)
-
-(defvar diary-nonmarking-symbol "&" "\
-Symbol indicating that a diary entry is not to be marked in the calendar.")
-
-(custom-autoload 'diary-nonmarking-symbol "calendar" t)
-
-(defvar hebrew-diary-entry-symbol "H" "\
-Symbol indicating a diary entry according to the Hebrew calendar.")
-
-(custom-autoload 'hebrew-diary-entry-symbol "calendar" t)
-
-(defvar islamic-diary-entry-symbol "I" "\
-Symbol indicating a diary entry according to the Islamic calendar.")
-
-(custom-autoload 'islamic-diary-entry-symbol "calendar" t)
-
-(defvar bahai-diary-entry-symbol "B" "\
-Symbol indicating a diary entry according to the Baha'i calendar.")
-
-(custom-autoload 'bahai-diary-entry-symbol "calendar" t)
+(defvar holiday-general-holidays '((holiday-fixed 1 1 "New Year's Day") (holiday-float 1 1 3 "Martin Luther King Day") (holiday-fixed 2 2 "Groundhog Day") (holiday-fixed 2 14 "Valentine's Day") (holiday-float 2 1 3 "President's Day") (holiday-fixed 3 17 "St. Patrick's Day") (holiday-fixed 4 1 "April Fools' Day") (holiday-float 5 0 2 "Mother's Day") (holiday-float 5 1 -1 "Memorial Day") (holiday-fixed 6 14 "Flag Day") (holiday-float 6 0 3 "Father's Day") (holiday-fixed 7 4 "Independence Day") (holiday-float 9 1 1 "Labor Day") (holiday-float 10 1 2 "Columbus Day") (holiday-fixed 10 31 "Halloween") (holiday-fixed 11 11 "Veteran's Day") (holiday-float 11 4 4 "Thanksgiving")) "\
+General holidays.  Default value is for the United States.
+See the documentation for `calendar-holidays' for details.")
 
-(defvar diary-include-string "#include" "\
-The string indicating inclusion of another file of diary entries.
-See the documentation for the function `include-other-diary-files'.")
+(custom-autoload 'holiday-general-holidays "calendar" t)
 
-(custom-autoload 'diary-include-string "calendar" t)
+(put 'holiday-general-holidays 'risky-local-variable t)
 
-(defvar sexp-diary-entry-symbol "%%" "\
-The string used to indicate a sexp diary entry in `diary-file'.
-See the documentation for the function `list-sexp-diary-entries'.")
+(define-obsolete-variable-alias 'general-holidays 'holiday-general-holidays "23.1")
 
-(custom-autoload 'sexp-diary-entry-symbol "calendar" t)
+(defvar holiday-oriental-holidays '((holiday-chinese-new-year)) "\
+Oriental holidays.
+See the documentation for `calendar-holidays' for details.")
 
-(defvar abbreviated-calendar-year t "\
-Interpret a two-digit year DD in a diary entry as either 19DD or 20DD.
-For the Gregorian calendar; similarly for the Hebrew, Islamic and
-Baha'i calendars.  If this variable is nil, years must be written in
-full.")
+(custom-autoload 'holiday-oriental-holidays "calendar" t)
 
-(custom-autoload 'abbreviated-calendar-year "calendar" t)
+(put 'holiday-oriental-holidays 'risky-local-variable t)
 
-(defvar european-calendar-style nil "\
-Use the European style of dates in the diary and in any displays.
-If this variable is t, a date 1/2/1990 would be interpreted as February 1,
-1990.  The default European date styles (see `european-date-diary-pattern')
-are
+(define-obsolete-variable-alias 'oriental-holidays 'holiday-oriental-holidays "23.1")
 
-            DAY/MONTH
-            DAY/MONTH/YEAR
-            DAY MONTHNAME
-            DAY MONTHNAME YEAR
-            DAYNAME
+(defvar holiday-local-holidays nil "\
+Local holidays.
+See the documentation for `calendar-holidays' for details.")
 
-Names can be capitalized or not, written in full (as specified by the
-variable `calendar-day-name-array'), or abbreviated (as specified by
-`calendar-day-abbrev-array') with or without a period.
+(custom-autoload 'holiday-local-holidays "calendar" t)
 
-Setting this variable directly does not take effect (if the
-calendar package is already loaded).  Rather, use either
-\\[customize] or the functions `european-calendar' and
-`american-calendar'.")
+(put 'holiday-local-holidays 'risky-local-variable t)
 
-(custom-autoload 'european-calendar-style "calendar" nil)
+(define-obsolete-variable-alias 'local-holidays 'holiday-local-holidays "23.1")
 
-(defvar american-date-diary-pattern '((month "/" day "[^/0-9]") (month "/" day "/" year "[^0-9]") (monthname " *" day "[^,0-9]") (monthname " *" day ", *" year "[^0-9]") (dayname "\\W")) "\
-List of pseudo-patterns describing the American patterns of date used.
-See the documentation of `diary-date-forms' for an explanation.")
+(defvar holiday-other-holidays nil "\
+User defined holidays.
+See the documentation for `calendar-holidays' for details.")
 
-(custom-autoload 'american-date-diary-pattern "calendar" t)
+(custom-autoload 'holiday-other-holidays "calendar" t)
 
-(defvar european-date-diary-pattern '((day "/" month "[^/0-9]") (day "/" month "/" year "[^0-9]") (backup day " *" monthname "\\W+\\<\\([^*0-9]\\|\\([0-9]+[:aApP]\\)\\)") (day " *" monthname " *" year "[^0-9]") (dayname "\\W")) "\
-List of pseudo-patterns describing the European patterns of date used.
-See the documentation of `diary-date-forms' for an explanation.")
+(put 'holiday-other-holidays 'risky-local-variable t)
 
-(custom-autoload 'european-date-diary-pattern "calendar" t)
+(define-obsolete-variable-alias 'other-holidays 'holiday-other-holidays "23.1")
 
-(defvar european-calendar-display-form '((if dayname (concat dayname ", ")) day " " monthname " " year) "\
-Pseudo-pattern governing the way a date appears in the European style.
-See the documentation of `calendar-date-display-form' for an explanation.")
+(defvar hebrew-holidays-1 '((holiday-hebrew-rosh-hashanah) (if calendar-hebrew-all-holidays-flag (holiday-julian 11 (let ((m displayed-month) (y displayed-year) year) (calendar-increment-month m y -1) (setq year (calendar-extract-year (calendar-julian-from-absolute (calendar-absolute-from-gregorian (list m 1 y))))) (if (zerop (% (1+ year) 4)) 22 21)) "\"Tal Umatar\" (evening)"))) "\
+Component of the old default value of `holiday-hebrew-holidays'.")
 
-(custom-autoload 'european-calendar-display-form "calendar" t)
+(put 'hebrew-holidays-1 'risky-local-variable t)
 
-(defvar american-calendar-display-form '((if dayname (concat dayname ", ")) monthname " " day ", " year) "\
-Pseudo-pattern governing the way a date appears in the American style.
-See the documentation of `calendar-date-display-form' for an explanation.")
+(defvar hebrew-holidays-2 '((holiday-hebrew-hanukkah) (if calendar-hebrew-all-holidays-flag (holiday-hebrew 10 (let ((h-year (calendar-extract-year (calendar-hebrew-from-absolute (calendar-absolute-from-gregorian (list displayed-month 28 displayed-year)))))) (if (= 6 (% (calendar-hebrew-to-absolute (list 10 10 h-year)) 7)) 11 10)) "Tzom Teveth")) (if calendar-hebrew-all-holidays-flag (holiday-hebrew 11 15 "Tu B'Shevat"))) "\
+Component of the old default value of `holiday-hebrew-holidays'.")
 
-(custom-autoload 'american-calendar-display-form "calendar" t)
+(put 'hebrew-holidays-2 'risky-local-variable t)
 
-(defvar print-diary-entries-hook 'lpr-buffer "\
-List of functions called after a temporary diary buffer is prepared.
-The buffer shows only the diary entries currently visible in the diary
-buffer.  The default just does the printing.  Other uses might include, for
-example, rearranging the lines into order by day and time, saving the buffer
-instead of deleting it, or changing the function used to do the printing.")
+(defvar hebrew-holidays-3 '((if calendar-hebrew-all-holidays-flag (holiday-hebrew 11 (let* ((m displayed-month) (y displayed-year) (h-year (progn (calendar-increment-month m y 1) (calendar-extract-year (calendar-hebrew-from-absolute (calendar-absolute-from-gregorian (list m (calendar-last-day-of-month m y) y)))))) (s-s (calendar-hebrew-from-absolute (if (= 6 (% (calendar-hebrew-to-absolute (list 7 1 h-year)) 7)) (calendar-dayname-on-or-before 6 (calendar-hebrew-to-absolute (list 11 17 h-year))) (calendar-dayname-on-or-before 6 (calendar-hebrew-to-absolute (list 11 16 h-year)))))) (day (calendar-extract-day s-s))) day) "Shabbat Shirah"))) "\
+Component of the old default value of `holiday-hebrew-holidays'.")
 
-(custom-autoload 'print-diary-entries-hook "calendar" t)
+(put 'hebrew-holidays-3 'risky-local-variable t)
 
-(defvar list-diary-entries-hook nil "\
-List of functions called after diary file is culled for relevant entries.
-It is to be used for diary entries that are not found in the diary file.
+(defvar hebrew-holidays-4 '((holiday-hebrew-passover) (and calendar-hebrew-all-holidays-flag (let* ((m displayed-month) (y displayed-year) (year (progn (calendar-increment-month m y -1) (calendar-extract-year (calendar-julian-from-absolute (calendar-absolute-from-gregorian (list m 1 y))))))) (= 21 (% year 28))) (holiday-julian 3 26 "Kiddush HaHamah")) (if calendar-hebrew-all-holidays-flag (holiday-hebrew-tisha-b-av))) "\
+Component of the old default value of `holiday-hebrew-holidays'.")
 
-A function `include-other-diary-files' is provided for use as the value of
-this hook.  This function enables you to use shared diary files together
-with your own.  The files included are specified in the diary file by lines
-of the form
+(put 'hebrew-holidays-4 'risky-local-variable t)
 
-        #include \"filename\"
+(defvar holiday-hebrew-holidays '((holiday-hebrew-passover) (holiday-hebrew-rosh-hashanah) (holiday-hebrew-hanukkah) (if calendar-hebrew-all-holidays-flag (append (holiday-hebrew-tisha-b-av) (holiday-hebrew-misc)))) "\
+Jewish holidays.
+See the documentation for `calendar-holidays' for details.")
 
-This is recursive; that is, #include directives in files thus included are
-obeyed.  You can change the \"#include\" to some other string by changing
-the variable `diary-include-string'.  When you use `include-other-diary-files'
-as part of the list-diary-entries-hook, you will probably also want to use the
-function `mark-included-diary-files' as part of `mark-diary-entries-hook'.
+(custom-autoload 'holiday-hebrew-holidays "calendar" t)
 
-For example, you could use
+(put 'holiday-hebrew-holidays 'risky-local-variable t)
 
-     (setq list-diary-entries-hook
-       '(include-other-diary-files sort-diary-entries))
-     (setq diary-display-hook 'fancy-diary-display)
+(define-obsolete-variable-alias 'hebrew-holidays 'holiday-hebrew-holidays "23.1")
 
-in your `.emacs' file to cause the fancy diary buffer to be displayed with
-diary entries from various included files, each day's entries sorted into
-lexicographic order.")
+(defvar holiday-christian-holidays '((holiday-easter-etc) (holiday-fixed 12 25 "Christmas") (if calendar-christian-all-holidays-flag (append (holiday-fixed 1 6 "Epiphany") (holiday-julian 12 25 "Eastern Orthodox Christmas") (holiday-greek-orthodox-easter) (holiday-fixed 8 15 "Assumption") (holiday-advent 0 "Advent")))) "\
+Christian holidays.
+See the documentation for `calendar-holidays' for details.")
 
-(custom-autoload 'list-diary-entries-hook "calendar" t)
+(custom-autoload 'holiday-christian-holidays "calendar" t)
 
-(defvar diary-hook nil "\
-List of functions called after the display of the diary.
-Can be used for appointment notification.")
+(put 'holiday-christian-holidays 'risky-local-variable t)
 
-(custom-autoload 'diary-hook "calendar" t)
+(define-obsolete-variable-alias 'christian-holidays 'holiday-christian-holidays "23.1")
 
-(defvar diary-display-hook nil "\
-List of functions that handle the display of the diary.
-If nil (the default), `simple-diary-display' is used.  Use `ignore' for no
-diary display.
+(defvar holiday-islamic-holidays '((holiday-islamic-new-year) (holiday-islamic 9 1 "Ramadan Begins") (if calendar-islamic-all-holidays-flag (append (holiday-islamic 1 10 "Ashura") (holiday-islamic 3 12 "Mulad-al-Nabi") (holiday-islamic 7 26 "Shab-e-Mi'raj") (holiday-islamic 8 15 "Shab-e-Bara't") (holiday-islamic 9 27 "Shab-e Qadr") (holiday-islamic 10 1 "Id-al-Fitr") (holiday-islamic 12 10 "Id-al-Adha")))) "\
+Islamic holidays.
+See the documentation for `calendar-holidays' for details.")
 
-Ordinarily, this just displays the diary buffer (with holidays indicated in
-the mode line), if there are any relevant entries.  At the time these
-functions are called, the variable `diary-entries-list' is a list, in order
-by date, of all relevant diary entries in the form of ((MONTH DAY YEAR)
-STRING), where string is the diary entry for the given date.  This can be
-used, for example, a different buffer for display (perhaps combined with
-holidays), or produce hard copy output.
+(custom-autoload 'holiday-islamic-holidays "calendar" t)
 
-A function `fancy-diary-display' is provided as an alternative
-choice for this hook; this function prepares a special noneditable diary
-buffer with the relevant diary entries that has neat day-by-day arrangement
-with headings.  The fancy diary buffer will show the holidays unless the
-variable `holidays-in-diary-buffer' is set to nil.  Ordinarily, the fancy
-diary buffer will not show days for which there are no diary entries, even
-if that day is a holiday; if you want such days to be shown in the fancy
-diary buffer, set the variable `diary-list-include-blanks' to t.")
+(put 'holiday-islamic-holidays 'risky-local-variable t)
 
-(custom-autoload 'diary-display-hook "calendar" nil)
+(define-obsolete-variable-alias 'islamic-holidays 'holiday-islamic-holidays "23.1")
 
-(defvar nongregorian-diary-listing-hook nil "\
-List of functions called for listing diary file and included files.
-As the files are processed for diary entries, these functions are used
-to cull relevant entries.  You can use either or both of
-`list-hebrew-diary-entries', `list-islamic-diary-entries' and
-`list-bahai-diary-entries'.  The documentation for these functions
-describes the style of such diary entries.")
+(defvar holiday-bahai-holidays '((holiday-bahai-new-year) (holiday-bahai-ridvan) (holiday-fixed 5 23 "Declaration of the Bab") (holiday-fixed 5 29 "Ascension of Baha'u'llah") (holiday-fixed 7 9 "Martyrdom of the Bab") (holiday-fixed 10 20 "Birth of the Bab") (holiday-fixed 11 12 "Birth of Baha'u'llah") (if calendar-bahai-all-holidays-flag (append (holiday-fixed 11 26 "Day of the Covenant") (holiday-fixed 11 28 "Ascension of `Abdu'l-Baha")))) "\
+Baha'i holidays.
+See the documentation for `calendar-holidays' for details.")
 
-(custom-autoload 'nongregorian-diary-listing-hook "calendar" t)
+(custom-autoload 'holiday-bahai-holidays "calendar" t)
 
-(defvar mark-diary-entries-hook nil "\
-List of functions called after marking diary entries in the calendar.
+(put 'holiday-bahai-holidays 'risky-local-variable t)
 
-A function `mark-included-diary-files' is also provided for use as the
-`mark-diary-entries-hook'; it enables you to use shared diary files together
-with your own.  The files included are specified in the diary file by lines
-of the form
-        #include \"filename\"
-This is recursive; that is, #include directives in files thus included are
-obeyed.  You can change the \"#include\" to some other string by changing the
-variable `diary-include-string'.  When you use `mark-included-diary-files' as
-part of the mark-diary-entries-hook, you will probably also want to use the
-function `include-other-diary-files' as part of `list-diary-entries-hook'.")
+(define-obsolete-variable-alias 'bahai-holidays 'holiday-bahai-holidays "23.1")
 
-(custom-autoload 'mark-diary-entries-hook "calendar" t)
-
-(defvar nongregorian-diary-marking-hook nil "\
-List of functions called for marking diary file and included files.
-As the files are processed for diary entries, these functions are used
-to cull relevant entries.  You can use either or both of
-`mark-hebrew-diary-entries', `mark-islamic-diary-entries' and
-`mark-bahai-diary-entries'.  The documentation for these functions
-describes the style of such diary entries.")
-
-(custom-autoload 'nongregorian-diary-marking-hook "calendar" t)
-
-(defvar diary-list-include-blanks nil "\
-If nil, do not include days with no diary entry in the list of diary entries.
-Such days will then not be shown in the fancy diary buffer, even if they
-are holidays.")
-
-(custom-autoload 'diary-list-include-blanks "calendar" t)
-
-(defvar holidays-in-diary-buffer t "\
-Non-nil means include holidays in the diary display.
-The holidays appear in the mode line of the diary buffer, or in the
-fancy diary buffer next to the date.  This slows down the diary functions
-somewhat; setting it to nil makes the diary display faster.")
-
-(custom-autoload 'holidays-in-diary-buffer "calendar" t)
-
-(put 'general-holidays 'risky-local-variable t)
-
-(defvar general-holidays '((holiday-fixed 1 1 "New Year's Day") (holiday-float 1 1 3 "Martin Luther King Day") (holiday-fixed 2 2 "Groundhog Day") (holiday-fixed 2 14 "Valentine's Day") (holiday-float 2 1 3 "President's Day") (holiday-fixed 3 17 "St. Patrick's Day") (holiday-fixed 4 1 "April Fools' Day") (holiday-float 5 0 2 "Mother's Day") (holiday-float 5 1 -1 "Memorial Day") (holiday-fixed 6 14 "Flag Day") (holiday-float 6 0 3 "Father's Day") (holiday-fixed 7 4 "Independence Day") (holiday-float 9 1 1 "Labor Day") (holiday-float 10 1 2 "Columbus Day") (holiday-fixed 10 31 "Halloween") (holiday-fixed 11 11 "Veteran's Day") (holiday-float 11 4 4 "Thanksgiving")) "\
-General holidays.  Default value is for the United States.
+(defvar holiday-solar-holidays '((solar-equinoxes-solstices) (holiday-sexp calendar-daylight-savings-starts (format "Daylight Saving Time Begins %s" (solar-time-string (/ calendar-daylight-savings-starts-time (float 60)) calendar-standard-time-zone-name))) (holiday-sexp calendar-daylight-savings-ends (format "Daylight Saving Time Ends %s" (solar-time-string (/ calendar-daylight-savings-ends-time (float 60)) calendar-daylight-time-zone-name)))) "\
+Sun-related holidays.
 See the documentation for `calendar-holidays' for details.")
 
-(custom-autoload 'general-holidays "calendar" t)
-
-(put 'oriental-holidays 'risky-local-variable t)
-
-(defvar oriental-holidays '((if (fboundp 'atan) (holiday-chinese-new-year))) "\
-Oriental holidays.
-See the documentation for `calendar-holidays' for details.")
+(custom-autoload 'holiday-solar-holidays "calendar" t)
 
-(custom-autoload 'oriental-holidays "calendar" t)
+(put 'holiday-solar-holidays 'risky-local-variable t)
 
-(put 'local-holidays 'risky-local-variable t)
+(define-obsolete-variable-alias 'solar-holidays 'holiday-solar-holidays "23.1")
 
-(defvar local-holidays nil "\
-Local holidays.
-See the documentation for `calendar-holidays' for details.")
+(defvar calendar-holidays (append holiday-general-holidays holiday-local-holidays holiday-other-holidays holiday-christian-holidays holiday-hebrew-holidays holiday-islamic-holidays holiday-bahai-holidays holiday-oriental-holidays holiday-solar-holidays) "\
+List of notable days for the command \\[holidays].
 
-(custom-autoload 'local-holidays "calendar" t)
+Additional holidays are easy to add to the list, just put them in the
+list `holiday-other-holidays' in your .emacs file.  Similarly, by setting
+any of `holiday-general-holidays', `holiday-local-holidays',
+`holiday-christian-holidays', `holiday-hebrew-holidays',
+`holiday-islamic-holidays', `holiday-bahai-holidays',
+`holiday-oriental-holidays', or `holiday-solar-holidays' to nil in your
+.emacs file, you can eliminate unwanted categories of holidays.
 
-(put 'other-holidays 'risky-local-variable t)
+The aforementioned variables control the holiday choices offered
+by the function `holiday-list' when it is called interactively.
 
-(defvar other-holidays nil "\
-User defined holidays.
-See the documentation for `calendar-holidays' for details.")
+They also initialize the default value of `calendar-holidays',
+which is the default list of holidays used by the function
+`holiday-list' in the non-interactive case.  Note that these
+variables have no effect on `calendar-holidays' after it has been
+set (e.g. after the calendar is loaded).  In that case, customize
+`calendar-holidays' directly.
 
-(custom-autoload 'other-holidays "calendar" t)
+The intention is that (in the US) `holiday-local-holidays' be set in
+site-init.el and `holiday-other-holidays' be set by the user.
 
-(put 'hebrew-holidays-1 'risky-local-variable t)
+Entries on the list are expressions that return (possibly empty) lists of
+items of the form ((month day year) string) of a holiday in the
+three-month period centered around `displayed-month' of `displayed-year'.
+Several basic functions are provided for this purpose:
 
-(defvar hebrew-holidays-1 '((holiday-rosh-hashanah-etc) (if all-hebrew-calendar-holidays (holiday-julian 11 (let* ((m displayed-month) (y displayed-year) (year)) (increment-calendar-month m y -1) (let ((year (extract-calendar-year (calendar-julian-from-absolute (calendar-absolute-from-gregorian (list m 1 y)))))) (if (zerop (% (1+ year) 4)) 22 21))) "\"Tal Umatar\" (evening)"))))
+    (holiday-fixed MONTH DAY STRING) is a fixed date on the Gregorian calendar
+    (holiday-float MONTH DAYNAME K STRING &optional day) is the Kth DAYNAME in
+                               MONTH on the Gregorian calendar (0 for Sunday,
+                               etc.); K<0 means count back from the end of the
+                               month.  An optional parameter DAY means the Kth
+                               DAYNAME after/before MONTH DAY.
+    (holiday-hebrew MONTH DAY STRING)  a fixed date on the Hebrew calendar
+    (holiday-islamic MONTH DAY STRING) a fixed date on the Islamic calendar
+    (holiday-bahai MONTH DAY STRING)   a fixed date on the Baha'i calendar
+    (holiday-julian MONTH DAY STRING)  a fixed date on the Julian calendar
+    (holiday-sexp SEXP STRING) SEXP is a Gregorian-date-valued expression
+                               in the variable `year'; if it evaluates to
+                               a visible date, that's the holiday; if it
+                               evaluates to nil, there's no holiday.  STRING
+                               is an expression in the variable `date'.
 
-(put 'hebrew-holidays-2 'risky-local-variable t)
+For example, to add Bastille Day, celebrated in France on July 14, add
 
-(defvar hebrew-holidays-2 '((if all-hebrew-calendar-holidays (holiday-hanukkah) (holiday-hebrew 9 25 "Hanukkah")) (if all-hebrew-calendar-holidays (holiday-hebrew 10 (let ((h-year (extract-calendar-year (calendar-hebrew-from-absolute (calendar-absolute-from-gregorian (list displayed-month 28 displayed-year)))))) (if (= (% (calendar-absolute-from-hebrew (list 10 10 h-year)) 7) 6) 11 10)) "Tzom Teveth")) (if all-hebrew-calendar-holidays (holiday-hebrew 11 15 "Tu B'Shevat"))))
+     (holiday-fixed 7 14 \"Bastille Day\")
 
-(put 'hebrew-holidays-3 'risky-local-variable t)
+to the list.  To add Hurricane Supplication Day, celebrated in the Virgin
+Islands on the fourth Monday in August, add
 
-(defvar hebrew-holidays-3 '((if all-hebrew-calendar-holidays (holiday-hebrew 11 (let ((m displayed-month) (y displayed-year)) (increment-calendar-month m y 1) (let* ((h-year (extract-calendar-year (calendar-hebrew-from-absolute (calendar-absolute-from-gregorian (list m (calendar-last-day-of-month m y) y))))) (s-s (calendar-hebrew-from-absolute (if (= (% (calendar-absolute-from-hebrew (list 7 1 h-year)) 7) 6) (calendar-dayname-on-or-before 6 (calendar-absolute-from-hebrew (list 11 17 h-year))) (calendar-dayname-on-or-before 6 (calendar-absolute-from-hebrew (list 11 16 h-year)))))) (day (extract-calendar-day s-s))) day)) "Shabbat Shirah"))))
+     (holiday-float 8 1 4 \"Hurricane Supplication Day\")
 
-(put 'hebrew-holidays-4 'risky-local-variable t)
+to the list (the last Monday would be specified with `-1' instead of `4').
+To add the last day of Hanukkah to the list, use
 
-(defvar hebrew-holidays-4 '((holiday-passover-etc) (if (and all-hebrew-calendar-holidays (let* ((m displayed-month) (y displayed-year) (year)) (increment-calendar-month m y -1) (let ((year (extract-calendar-year (calendar-julian-from-absolute (calendar-absolute-from-gregorian (list m 1 y)))))) (= 21 (% year 28))))) (holiday-julian 3 26 "Kiddush HaHamah")) (if all-hebrew-calendar-holidays (holiday-tisha-b-av-etc))))
+     (holiday-hebrew 10 2 \"Last day of Hanukkah\")
 
-(put 'hebrew-holidays 'risky-local-variable t)
+since the Hebrew months are numbered with 1 starting from Nisan.
+To add the Islamic feast celebrating Mohammed's birthday, use
 
-(defvar hebrew-holidays (append hebrew-holidays-1 hebrew-holidays-2 hebrew-holidays-3 hebrew-holidays-4) "\
-Jewish holidays.
-See the documentation for `calendar-holidays' for details.")
+     (holiday-islamic 3 12 \"Mohammed's Birthday\")
 
-(custom-autoload 'hebrew-holidays "calendar" t)
+since the Islamic months are numbered from 1 starting with Muharram.
+To add an entry for the Baha'i festival of Ridvan, use
 
-(put 'christian-holidays 'risky-local-variable t)
+     (holiday-bahai 2 13 \"Festival of Ridvan\")
 
-(defvar christian-holidays '((if all-christian-calendar-holidays (holiday-fixed 1 6 "Epiphany")) (holiday-easter-etc 0 "Easter Sunday") (holiday-easter-etc -2 "Good Friday") (holiday-easter-etc -46 "Ash Wednesday") (if all-christian-calendar-holidays (holiday-easter-etc -63 "Septuagesima Sunday")) (if all-christian-calendar-holidays (holiday-easter-etc -56 "Sexagesima Sunday")) (if all-christian-calendar-holidays (holiday-easter-etc -49 "Shrove Sunday")) (if all-christian-calendar-holidays (holiday-easter-etc -48 "Shrove Monday")) (if all-christian-calendar-holidays (holiday-easter-etc -47 "Shrove Tuesday")) (if all-christian-calendar-holidays (holiday-easter-etc -14 "Passion Sunday")) (if all-christian-calendar-holidays (holiday-easter-etc -7 "Palm Sunday")) (if all-christian-calendar-holidays (holiday-easter-etc -3 "Maundy Thursday")) (if all-christian-calendar-holidays (holiday-easter-etc 35 "Rogation Sunday")) (if all-christian-calendar-holidays (holiday-easter-etc 39 "Ascension Day")) (if all-christian-calendar-holidays (holiday-easter-etc 49 "Pentecost (Whitsunday)")) (if all-christian-calendar-holidays (holiday-easter-etc 50 "Whitmonday")) (if all-christian-calendar-holidays (holiday-easter-etc 56 "Trinity Sunday")) (if all-christian-calendar-holidays (holiday-easter-etc 60 "Corpus Christi")) (if all-christian-calendar-holidays (holiday-greek-orthodox-easter)) (if all-christian-calendar-holidays (holiday-fixed 8 15 "Assumption")) (if all-christian-calendar-holidays (holiday-advent 0 "Advent")) (holiday-fixed 12 25 "Christmas") (if all-christian-calendar-holidays (holiday-julian 12 25 "Eastern Orthodox Christmas"))) "\
-Christian holidays.
-See the documentation for `calendar-holidays' for details.")
+since the Baha'i months are numbered from 1 starting with Baha.
+To add Thomas Jefferson's birthday, April 2, 1743 (Julian), use
 
-(custom-autoload 'christian-holidays "calendar" t)
+     (holiday-julian 4 2 \"Jefferson's Birthday\")
 
-(put 'islamic-holidays 'risky-local-variable t)
+To include a holiday conditionally, use the sexp form or a conditional.  For
+example, to include American presidential elections, which occur on the first
+Tuesday after the first Monday in November of years divisible by 4, add
 
-(defvar islamic-holidays '((holiday-islamic 1 1 (format "Islamic New Year %d" (let ((m displayed-month) (y displayed-year)) (increment-calendar-month m y 1) (extract-calendar-year (calendar-islamic-from-absolute (calendar-absolute-from-gregorian (list m (calendar-last-day-of-month m y) y))))))) (if all-islamic-calendar-holidays (holiday-islamic 1 10 "Ashura")) (if all-islamic-calendar-holidays (holiday-islamic 3 12 "Mulad-al-Nabi")) (if all-islamic-calendar-holidays (holiday-islamic 7 26 "Shab-e-Mi'raj")) (if all-islamic-calendar-holidays (holiday-islamic 8 15 "Shab-e-Bara't")) (holiday-islamic 9 1 "Ramadan Begins") (if all-islamic-calendar-holidays (holiday-islamic 9 27 "Shab-e Qadr")) (if all-islamic-calendar-holidays (holiday-islamic 10 1 "Id-al-Fitr")) (if all-islamic-calendar-holidays (holiday-islamic 12 10 "Id-al-Adha"))) "\
-Islamic holidays.
-See the documentation for `calendar-holidays' for details.")
+     (holiday-sexp
+       '(if (zerop (% year 4))
+           (calendar-gregorian-from-absolute
+             (1+ (calendar-dayname-on-or-before
+                   1 (+ 6 (calendar-absolute-from-gregorian
+                            (list 11 1 year)))))))
+       \"US Presidential Election\")
 
-(custom-autoload 'islamic-holidays "calendar" t)
+or
 
-(put 'bahai-holidays 'risky-local-variable t)
+     (if (zerop (% displayed-year 4))
+         (holiday-fixed 11
+                (calendar-extract-day
+                 (calendar-gregorian-from-absolute
+                  (1+ (calendar-dayname-on-or-before
+                       1 (+ 6 (calendar-absolute-from-gregorian
+                               (list 11 1 displayed-year)))))))
+                \"US Presidential Election\"))
 
-(defvar bahai-holidays '((holiday-fixed 3 21 (format "Baha'i New Year (Naw-Ruz) %d" (- displayed-year (1- 1844)))) (holiday-fixed 4 21 "First Day of Ridvan") (if all-bahai-calendar-holidays (holiday-fixed 4 22 "Second Day of Ridvan")) (if all-bahai-calendar-holidays (holiday-fixed 4 23 "Third Day of Ridvan")) (if all-bahai-calendar-holidays (holiday-fixed 4 24 "Fourth Day of Ridvan")) (if all-bahai-calendar-holidays (holiday-fixed 4 25 "Fifth Day of Ridvan")) (if all-bahai-calendar-holidays (holiday-fixed 4 26 "Sixth Day of Ridvan")) (if all-bahai-calendar-holidays (holiday-fixed 4 27 "Seventh Day of Ridvan")) (if all-bahai-calendar-holidays (holiday-fixed 4 28 "Eighth Day of Ridvan")) (holiday-fixed 4 29 "Ninth Day of Ridvan") (if all-bahai-calendar-holidays (holiday-fixed 4 30 "Tenth Day of Ridvan")) (if all-bahai-calendar-holidays (holiday-fixed 5 1 "Eleventh Day of Ridvan")) (holiday-fixed 5 2 "Twelfth Day of Ridvan") (holiday-fixed 5 23 "Declaration of the Bab") (holiday-fixed 5 29 "Ascension of Baha'u'llah") (holiday-fixed 7 9 "Martyrdom of the Bab") (holiday-fixed 10 20 "Birth of the Bab") (holiday-fixed 11 12 "Birth of Baha'u'llah") (if all-bahai-calendar-holidays (holiday-fixed 11 26 "Day of the Covenant")) (if all-bahai-calendar-holidays (holiday-fixed 11 28 "Ascension of `Abdu'l-Baha"))) "\
-Baha'i holidays.
-See the documentation for `calendar-holidays' for details.")
+to the list.  To include the phases of the moon, add
 
-(custom-autoload 'bahai-holidays "calendar" t)
+     (lunar-phases)
 
-(put 'solar-holidays 'risky-local-variable t)
+to the holiday list, where `lunar-phases' is an Emacs-Lisp function that
+you've written to return a (possibly empty) list of the relevant VISIBLE dates
+with descriptive strings such as
 
-(defvar solar-holidays '((if (fboundp 'atan) (solar-equinoxes-solstices)) (if (progn (require 'cal-dst) t) (funcall 'holiday-sexp calendar-daylight-savings-starts '(format "Daylight Saving Time Begins %s" (if (fboundp 'atan) (solar-time-string (/ calendar-daylight-savings-starts-time (float 60)) calendar-standard-time-zone-name) "")))) (funcall 'holiday-sexp calendar-daylight-savings-ends '(format "Daylight Saving Time Ends %s" (if (fboundp 'atan) (solar-time-string (/ calendar-daylight-savings-ends-time (float 60)) calendar-daylight-time-zone-name) "")))) "\
-Sun-related holidays.
-See the documentation for `calendar-holidays' for details.")
+     (((2 6 1989) \"New Moon\") ((2 12 1989) \"First Quarter Moon\") ... ).")
 
-(custom-autoload 'solar-holidays "calendar" t)
+(custom-autoload 'calendar-holidays "calendar" t)
 
 (put 'calendar-holidays 'risky-local-variable t)
 
-(defvar calendar-setup nil "\
-The frame setup of the calendar.
-The choices are: `one-frame' (calendar and diary together in one separate,
-dedicated frame); `two-frames' (calendar and diary in separate, dedicated
-frames); `calendar-only' (calendar in a separate, dedicated frame); with
-any other value the current frame is used.  Using any of the first
-three options overrides the value of `view-diary-entries-initially'.")
-
-(custom-autoload 'calendar-setup "calendar" t)
-
 (autoload 'calendar "calendar" "\
-Choose between the one frame, two frame, or basic calendar displays.
-If called with an optional prefix argument, prompts for month and year.
+Display a three-month Gregorian calendar.
+The three months appear side by side, with the current month in
+the middle surrounded by the previous and next months.  The
+cursor is put on today's date.  If optional prefix argument ARG
+is non-nil, prompts for the central month and year.
+
+Once in the calendar window, future or past months can be moved
+into view.  Arbitrary months can be displayed, or the calendar
+can be scrolled forward or backward.  The cursor can be moved
+forward or backward by one day, one week, one month, or one year.
+All of these commands take prefix arguments which, when negative,
+cause movement in the opposite direction.  For convenience, the
+digit keys and the minus sign are automatically prefixes.  Use
+\\[describe-mode] for details of the key bindings in the calendar
+window.
+
+Displays the calendar in a separate window, or optionally in a
+separate frame, depending on the value of `calendar-setup'.
+
+If `calendar-view-diary-initially-flag' is non-nil, also displays the
+diary entries for the current date (or however many days
+`diary-number-of-entries' specifies).  This variable can be
+overridden by `calendar-setup'.  As well as being displayed,
+diary entries can also be marked on the calendar (see
+`calendar-mark-diary-entries-flag').
+
+Runs the following hooks:
+
+`calendar-load-hook' - after loading calendar.el
+`calendar-today-visible-hook', `calendar-today-invisible-hook' - after
+   generating a calendar, if today's date is visible or not, respectively
+`calendar-initial-window-hook' - after first creating a calendar
 
-The original function `calendar' has been renamed `calendar-basic-setup'.
-See the documentation of that function for more information.
+This function is suitable for execution in a .emacs file.
 
 \(fn &optional ARG)" t nil)
 
-(defvar calendar-week-start-day 0 "\
-The day of the week on which a week in the calendar begins.
-0 means Sunday (default), 1 means Monday, and so on.
-
-If you change this variable directly (without using customize)
-after starting `calendar', you should call `redraw-calendar' to
-update the calendar display to reflect the change, otherwise
-movement commands will not work correctly.")
-
-(custom-autoload 'calendar-week-start-day "calendar" nil)
-
 ;;;***
 \f
 ;;;### (autoloads (canlock-verify canlock-insert-header) "canlock"
-;;;;;;  "gnus/canlock.el" (18088 55101))
+;;;;;;  "gnus/canlock.el" (18335 54532))
 ;;; Generated autoloads from gnus/canlock.el
 
 (autoload 'canlock-insert-header "canlock" "\
@@ -3553,15 +3074,51 @@ it fails.
 
 ;;;***
 \f
-;;;### (autoloads nil "cc-compat" "progmodes/cc-compat.el" (18088
-;;;;;;  55114))
+;;;### (autoloads (capitalized-words-mode) "cap-words" "progmodes/cap-words.el"
+;;;;;;  (18340 25864))
+;;; Generated autoloads from progmodes/cap-words.el
+
+(autoload 'capitalized-words-mode "cap-words" "\
+Toggle Capitalized- Words mode.
+
+In this minor mode, a word boundary occurs immediately before an
+uppercase letter in a symbol.  This is in addition to all the normal
+boundaries given by the syntax and category tables.  There is no
+restriction to ASCII.
+
+E.g. the beginning of words in the following identifier are as marked:
+
+  capitalizedWorDD
+  ^          ^  ^^
+
+Note that these word boundaries only apply for word motion and
+marking commands such as \\[forward-word].  This mode does not affect word
+boundaries in found by regexp matching (`\\>', `\\w' &c).
+
+This style of identifiers is common in environments like Java ones,
+where underscores aren't trendy enough.  Capitalization rules are
+sometimes part of the language, e.g. Haskell, which may thus encourage
+such a style.  It is appropriate to add `capitalized-words-mode' to
+the mode hook for programming langauge modes in which you encounter
+variables like this, e.g. `java-mode-hook'.  It's unlikely to cause
+trouble if such identifiers aren't used.
+
+See also `glasses-mode' and `studlify-word'.
+Obsoletes `c-forward-into-nomenclature'.
+
+\(fn &optional ARG)" t nil)
+
+;;;***
+\f
+;;;### (autoloads nil "cc-compat" "progmodes/cc-compat.el" (18335
+;;;;;;  54542))
 ;;; Generated autoloads from progmodes/cc-compat.el
 (put 'c-indent-level 'safe-local-variable 'integerp)
 
 ;;;***
 \f
 ;;;### (autoloads (c-guess-basic-syntax) "cc-engine" "progmodes/cc-engine.el"
-;;;;;;  (18203 37789))
+;;;;;;  (18385 53422))
 ;;; Generated autoloads from progmodes/cc-engine.el
 
 (autoload 'c-guess-basic-syntax "cc-engine" "\
@@ -3573,7 +3130,7 @@ Return the syntactic context of the current line.
 \f
 ;;;### (autoloads (pike-mode idl-mode java-mode objc-mode c++-mode
 ;;;;;;  c-mode c-initialize-cc-mode) "cc-mode" "progmodes/cc-mode.el"
-;;;;;;  (18191 7159))
+;;;;;;  (18425 17360))
 ;;; Generated autoloads from progmodes/cc-mode.el
 
 (autoload 'c-initialize-cc-mode "cc-mode" "\
@@ -3731,7 +3288,7 @@ Key bindings:
 ;;;***
 \f
 ;;;### (autoloads (c-set-offset c-add-style c-set-style) "cc-styles"
-;;;;;;  "progmodes/cc-styles.el" (18192 17587))
+;;;;;;  "progmodes/cc-styles.el" (18369 28762))
 ;;; Generated autoloads from progmodes/cc-styles.el
 
 (autoload 'c-set-style "cc-styles" "\
@@ -3782,14 +3339,36 @@ and exists only for compatibility reasons.
 
 ;;;***
 \f
-;;;### (autoloads nil "cc-subword" "progmodes/cc-subword.el" (18088
-;;;;;;  55115))
+;;;### (autoloads (c-subword-mode) "cc-subword" "progmodes/cc-subword.el"
+;;;;;;  (18335 54542))
 ;;; Generated autoloads from progmodes/cc-subword.el
- (autoload 'c-subword-mode "cc-subword" "Mode enabling subword movement and editing keys." t)
+
+(autoload 'c-subword-mode "cc-subword" "\
+Mode enabling subword movement and editing keys.
+In spite of GNU Coding Standards, it is popular to name a symbol by
+mixing uppercase and lowercase letters, e.g. \"GtkWidget\",
+\"EmacsFrameClass\", \"NSGraphicsContext\", etc.  Here we call these
+mixed case symbols `nomenclatures'. Also, each capitalized (or
+completely uppercase) part of a nomenclature is called a `subword'.
+Here are some examples:
+
+  Nomenclature           Subwords
+  ===========================================================
+  GtkWindow          =>  \"Gtk\" and \"Window\"
+  EmacsFrameClass    =>  \"Emacs\", \"Frame\" and \"Class\"
+  NSGraphicsContext  =>  \"NS\", \"Graphics\" and \"Context\"
+
+The subword oriented commands activated in this minor mode recognize
+subwords in a nomenclature to move between subwords and to edit them
+as words.
+
+\\{c-subword-mode-map}
+
+\(fn &optional ARG)" t nil)
 
 ;;;***
 \f
-;;;### (autoloads nil "cc-vars" "progmodes/cc-vars.el" (18120 34752))
+;;;### (autoloads nil "cc-vars" "progmodes/cc-vars.el" (18335 54542))
 ;;; Generated autoloads from progmodes/cc-vars.el
 (put 'c-basic-offset 'safe-local-variable 'integerp)
 (put 'c-backslash-column 'safe-local-variable 'integerp)
@@ -3799,7 +3378,7 @@ and exists only for compatibility reasons.
 \f
 ;;;### (autoloads (ccl-execute-with-args check-ccl-program define-ccl-program
 ;;;;;;  declare-ccl-program ccl-dump ccl-compile) "ccl" "international/ccl.el"
-;;;;;;  (18088 55107))
+;;;;;;  (18341 12910))
 ;;; Generated autoloads from international/ccl.el
 
 (autoload 'ccl-compile "ccl" "\
@@ -4058,7 +3637,7 @@ See the documentation of `define-ccl-program' for the detail of CCL program.
 ;;;***
 \f
 ;;;### (autoloads (cfengine-mode) "cfengine" "progmodes/cfengine.el"
-;;;;;;  (18088 55115))
+;;;;;;  (18335 54542))
 ;;; Generated autoloads from progmodes/cfengine.el
 
 (autoload 'cfengine-mode "cfengine" "\
@@ -4070,6 +3649,26 @@ to the action header.
 
 \(fn)" t nil)
 
+;;;***
+\f
+;;;### (autoloads (check-declare-directory check-declare-file) "check-declare"
+;;;;;;  "emacs-lisp/check-declare.el" (18341 12907))
+;;; Generated autoloads from emacs-lisp/check-declare.el
+
+(autoload 'check-declare-file "check-declare" "\
+Check veracity of all `declare-function' statements in FILE.
+See `check-declare-directory' for more information.
+
+\(fn FILE)" t nil)
+
+(autoload 'check-declare-directory "check-declare" "\
+Check veracity of all `declare-function' statements under directory ROOT.
+Returns non-nil if any false statements are found.  For this to
+work correctly, the statements must adhere to the format
+described in the documentation of `declare-function'.
+
+\(fn ROOT)" t nil)
+
 ;;;***
 \f
 ;;;### (autoloads (checkdoc-minor-mode checkdoc-ispell-defun checkdoc-ispell-comments
@@ -4080,7 +3679,7 @@ to the action header.
 ;;;;;;  checkdoc-comments checkdoc-continue checkdoc-start checkdoc-current-buffer
 ;;;;;;  checkdoc-eval-current-buffer checkdoc-message-interactive
 ;;;;;;  checkdoc-interactive checkdoc) "checkdoc" "emacs-lisp/checkdoc.el"
-;;;;;;  (18203 37787))
+;;;;;;  (18427 42292))
 ;;; Generated autoloads from emacs-lisp/checkdoc.el
 (put 'checkdoc-force-docstrings-flag 'safe-local-variable 'booleanp)
 (put 'checkdoc-permit-comma-termination-flag 'safe-local-variable 'booleanp)
@@ -4265,9 +3864,9 @@ checking of documentation strings.
 
 ;;;***
 \f
-;;;### (autoloads (encode-hz-buffer encode-hz-region decode-hz-buffer
-;;;;;;  decode-hz-region) "china-util" "language/china-util.el" (18088
-;;;;;;  55108))
+;;;### (autoloads (pre-write-encode-hz post-read-decode-hz encode-hz-buffer
+;;;;;;  encode-hz-region decode-hz-buffer decode-hz-region) "china-util"
+;;;;;;  "language/china-util.el" (18341 12911))
 ;;; Generated autoloads from language/china-util.el
 
 (autoload 'decode-hz-region "china-util" "\
@@ -4292,10 +3891,20 @@ Encode the text in the current buffer to HZ.
 
 \(fn)" t nil)
 
+(autoload 'post-read-decode-hz "china-util" "\
+Not documented
+
+\(fn LEN)" nil nil)
+
+(autoload 'pre-write-encode-hz "china-util" "\
+Not documented
+
+\(fn FROM TO)" nil nil)
+
 ;;;***
 \f
 ;;;### (autoloads (command-history list-command-history repeat-matching-complex-command)
-;;;;;;  "chistory" "chistory.el" (18088 55080))
+;;;;;;  "chistory" "chistory.el" (18335 54507))
 ;;; Generated autoloads from chistory.el
 
 (autoload 'repeat-matching-complex-command "chistory" "\
@@ -4334,7 +3943,7 @@ and runs the normal hook `command-history-hook'.
 
 ;;;***
 \f
-;;;### (autoloads nil "cl" "emacs-lisp/cl.el" (18213 13926))
+;;;### (autoloads nil "cl" "emacs-lisp/cl.el" (18335 54522))
 ;;; Generated autoloads from emacs-lisp/cl.el
 
 (defvar custom-print-functions nil "\
@@ -4350,7 +3959,7 @@ a future Emacs interpreter will be able to use it.")
 ;;;***
 \f
 ;;;### (autoloads (common-lisp-indent-function) "cl-indent" "emacs-lisp/cl-indent.el"
-;;;;;;  (18088 55095))
+;;;;;;  (18335 54522))
 ;;; Generated autoloads from emacs-lisp/cl-indent.el
 
 (autoload 'common-lisp-indent-function "cl-indent" "\
@@ -4361,7 +3970,7 @@ Not documented
 ;;;***
 \f
 ;;;### (autoloads (c-macro-expand) "cmacexp" "progmodes/cmacexp.el"
-;;;;;;  (18088 55115))
+;;;;;;  (18335 54542))
 ;;; Generated autoloads from progmodes/cmacexp.el
 
 (autoload 'c-macro-expand "cmacexp" "\
@@ -4381,8 +3990,8 @@ For use inside Lisp programs, see also `c-macro-expansion'.
 
 ;;;***
 \f
-;;;### (autoloads (run-scheme) "cmuscheme" "cmuscheme.el" (18088
-;;;;;;  55080))
+;;;### (autoloads (run-scheme) "cmuscheme" "cmuscheme.el" (18335
+;;;;;;  54507))
 ;;; Generated autoloads from cmuscheme.el
 
 (autoload 'run-scheme "cmuscheme" "\
@@ -4403,128 +4012,21 @@ is run).
 
 ;;;***
 \f
-;;;### (autoloads (cp-make-coding-system) "code-pages" "international/code-pages.el"
-;;;;;;  (18088 55107))
-;;; Generated autoloads from international/code-pages.el
-
-(autoload 'cp-make-coding-system "code-pages" "\
-Make coding system NAME for and 8-bit, extended-ASCII character set.
-V is a 128-long vector of characters to translate the upper half of
-the character set.  DOC-STRING and MNEMONIC are used as the
-corresponding args of `make-coding-system'.  If MNEMONIC isn't given,
-?* is used.
-Return an updated `non-iso-charset-alist'.
-
-\(fn NAME V &optional DOC-STRING MNEMONIC)" nil (quote macro))
-(autoload-coding-system 'cp437 '(require 'code-pages))
-(autoload-coding-system 'cp737 '(require 'code-pages))
-(autoload-coding-system 'cp775 '(require 'code-pages))
-(autoload-coding-system 'cp850 '(require 'code-pages))
-(autoload-coding-system 'cp851 '(require 'code-pages))
-(autoload-coding-system 'cp852 '(require 'code-pages))
-(autoload-coding-system 'cp855 '(require 'code-pages))
-(autoload-coding-system 'cp857 '(require 'code-pages))
-(autoload-coding-system 'cp858 '(require 'code-pages))
-(autoload-coding-system 'cp860 '(require 'code-pages))
-(autoload-coding-system 'cp861 '(require 'code-pages))
-(autoload-coding-system 'cp862 '(require 'code-pages))
-(autoload-coding-system 'cp863 '(require 'code-pages))
-(autoload-coding-system 'cp864 '(require 'code-pages))
-(autoload-coding-system 'cp865 '(require 'code-pages))
-(autoload-coding-system 'cp866 '(require 'code-pages))
-(autoload-coding-system 'cp869 '(require 'code-pages))
-(autoload-coding-system 'cp874 '(require 'code-pages))
-(autoload-coding-system 'windows-1250 '(require 'code-pages))
-(autoload-coding-system 'cp1250 '(require 'code-pages))
-(autoload-coding-system 'windows-1253 '(require 'code-pages))
-(autoload-coding-system 'cp1253 '(require 'code-pages))
-(autoload-coding-system 'windows-1254 '(require 'code-pages))
-(autoload-coding-system 'cp1254 '(require 'code-pages))
-(autoload-coding-system 'windows-1255 '(require 'code-pages))
-(autoload-coding-system 'cp1255 '(require 'code-pages))
-(autoload-coding-system 'windows-1256 '(require 'code-pages))
-(autoload-coding-system 'cp1256 '(require 'code-pages))
-(autoload-coding-system 'windows-1257 '(require 'code-pages))
-(autoload-coding-system 'cp1257 '(require 'code-pages))
-(autoload-coding-system 'windows-1258 '(require 'code-pages))
-(autoload-coding-system 'cp1258 '(require 'code-pages))
-(autoload-coding-system 'next '(require 'code-pages))
-(autoload-coding-system 'koi8-t '(require 'code-pages))
-(autoload-coding-system 'iso-8859-16 '(require 'code-pages))
-(autoload-coding-system 'iso-8859-6 '(require 'code-pages))
-(autoload-coding-system 'iso-8859-10 '(require 'code-pages))
-(autoload-coding-system 'iso-8859-13 '(require 'code-pages))
-(autoload-coding-system 'georgian-ps '(require 'code-pages))
-(autoload-coding-system 'cp720 '(require 'code-pages))
-(autoload-coding-system 'cp1125 '(require 'code-pages))
-(autoload-coding-system 'mik '(require 'code-pages))
-(autoload-coding-system 'pt154 '(require 'code-pages))
-(autoload-coding-system 'iso-8859-11 '(require 'code-pages))
-
-;;;***
-\f
-;;;### (autoloads (codepage-setup cp-supported-codepages cp-offset-for-codepage
-;;;;;;  cp-language-for-codepage cp-charset-for-codepage cp-make-coding-systems-for-codepage)
-;;;;;;  "codepage" "international/codepage.el" (18088 55107))
+;;;### (autoloads (codepage-setup) "codepage" "international/codepage.el"
+;;;;;;  (18366 7833))
 ;;; Generated autoloads from international/codepage.el
 
-(autoload 'cp-make-coding-systems-for-codepage "codepage" "\
-Create a coding system to convert IBM CODEPAGE into charset ISO-NAME
-whose first character is at offset OFFSET from the beginning of 8-bit
-ASCII table.
-
-The created coding system has the usual 3 subsidiary systems: for Unix-,
-DOS- and Mac-style EOL conversion.  However, unlike built-in coding
-systems, the Mac-style EOL conversion is currently not supported by the
-decoder and encoder created by this function.
-
-\(fn CODEPAGE ISO-NAME OFFSET)" nil nil)
-
-(autoload 'cp-charset-for-codepage "codepage" "\
-Return the charset for which there is a translation table to DOS CODEPAGE.
-CODEPAGE must be the name of a DOS codepage, a string.
-
-\(fn CODEPAGE)" nil nil)
-
-(autoload 'cp-language-for-codepage "codepage" "\
-Return the name of the MULE language environment for CODEPAGE.
-CODEPAGE must be the name of a DOS codepage, a string.
-
-\(fn CODEPAGE)" nil nil)
-
-(autoload 'cp-offset-for-codepage "codepage" "\
-Return the offset to be used in setting up coding systems for CODEPAGE.
-CODEPAGE must be the name of a DOS codepage, a string.
-
-\(fn CODEPAGE)" nil nil)
-
-(autoload 'cp-supported-codepages "codepage" "\
-Return an alist of supported codepages.
-
-Each association in the alist has the form (NNN . CHARSET), where NNN is the
-codepage number, and CHARSET is the MULE charset which is the closest match
-for the character set supported by that codepage.
-
-A codepage NNN is supported if a variable called `cpNNN-decode-table' exists,
-is a vector, and has a charset property.
-
-\(fn)" nil nil)
-
 (autoload 'codepage-setup "codepage" "\
-Create a coding system cpCODEPAGE to support the IBM codepage CODEPAGE.
+Obsolete.  All coding systems are set up initially.
 
-These coding systems are meant for encoding and decoding 8-bit non-ASCII
-characters used by the IBM codepages, typically in conjunction with files
-read/written by MS-DOS software, or for display on the MS-DOS terminal.
-
-\(fn CODEPAGE)" t nil)
+\(fn &optional CODEPAGE)" t nil)
 
 ;;;***
 \f
 ;;;### (autoloads (comint-redirect-results-list-from-process comint-redirect-results-list
 ;;;;;;  comint-redirect-send-command-to-process comint-redirect-send-command
 ;;;;;;  comint-run make-comint make-comint-in-buffer) "comint" "comint.el"
-;;;;;;  (18208 48750))
+;;;;;;  (18404 55763))
 ;;; Generated autoloads from comint.el
 
 (defvar comint-output-filter-functions '(comint-postoutput-scroll-to-bottom comint-watch-for-password-prompt) "\
@@ -4614,8 +4116,8 @@ REGEXP-GROUP is the regular expression group in REGEXP to use.
 
 ;;;***
 \f
-;;;### (autoloads (compare-windows) "compare-w" "compare-w.el" (18088
-;;;;;;  55080))
+;;;### (autoloads (compare-windows) "compare-w" "compare-w.el" (18335
+;;;;;;  54507))
 ;;; Generated autoloads from compare-w.el
 
 (autoload 'compare-windows "compare-w" "\
@@ -4652,7 +4154,7 @@ on third call it again advances points to the next difference and so on.
 ;;;;;;  compilation-shell-minor-mode compilation-mode compilation-start
 ;;;;;;  compile compilation-disable-input compile-command compilation-search-path
 ;;;;;;  compilation-ask-about-save compilation-window-height compilation-mode-hook)
-;;;;;;  "compile" "progmodes/compile.el" (18202 4003))
+;;;;;;  "compile" "progmodes/compile.el" (18413 14496))
 ;;; Generated autoloads from progmodes/compile.el
 
 (defvar compilation-mode-hook nil "\
@@ -4820,7 +4322,7 @@ This is the value of `next-error-function' in Compilation buffers.
 ;;;***
 \f
 ;;;### (autoloads (partial-completion-mode) "complete" "complete.el"
-;;;;;;  (18204 59927))
+;;;;;;  (18410 40106))
 ;;; Generated autoloads from complete.el
 
 (defvar partial-completion-mode nil "\
@@ -4862,7 +4364,7 @@ second TAB brings up the `*Completions*' buffer.
 ;;;***
 \f
 ;;;### (autoloads (dynamic-completion-mode) "completion" "completion.el"
-;;;;;;  (18169 11930))
+;;;;;;  (18335 54507))
 ;;; Generated autoloads from completion.el
 
 (defvar dynamic-completion-mode nil "\
@@ -4881,51 +4383,11 @@ Enable dynamic word-completion.
 
 ;;;***
 \f
-;;;### (autoloads (decompose-composite-char compose-last-chars compose-chars-after
-;;;;;;  find-composition compose-chars decompose-string compose-string
-;;;;;;  decompose-region compose-region encode-composition-rule)
-;;;;;;  "composite" "composite.el" (18088 55081))
+;;;### (autoloads (global-auto-composition-mode auto-composition-mode
+;;;;;;  encode-composition-rule) "composite" "composite.el" (18366
+;;;;;;  7832))
 ;;; Generated autoloads from composite.el
 
-(defconst reference-point-alist '((tl . 0) (tc . 1) (tr . 2) (Bl . 3) (Bc . 4) (Br . 5) (bl . 6) (bc . 7) (br . 8) (cl . 9) (cc . 10) (cr . 11) (top-left . 0) (top-center . 1) (top-right . 2) (base-left . 3) (base-center . 4) (base-right . 5) (bottom-left . 6) (bottom-center . 7) (bottom-right . 8) (center-left . 9) (center-center . 10) (center-right . 11) (ml . 3) (mc . 10) (mr . 5) (mid-left . 3) (mid-center . 10) (mid-right . 5)) "\
-Alist of symbols vs integer codes of glyph reference points.
-A glyph reference point symbol is to be used to specify a composition
-rule in COMPONENTS argument to such functions as `compose-region' and
-`make-composition'.
-
-Meanings of glyph reference point codes are as follows:
-
-    0----1----2 <---- ascent   0:tl or top-left
-    |         |                        1:tc or top-center
-    |         |                        2:tr or top-right
-    |         |                        3:Bl or base-left     9:cl or center-left
-    9   10   11 <---- center   4:Bc or base-center  10:cc or center-center
-    |         |                        5:Br or base-right   11:cr or center-right
-  --3----4----5-- <-- baseline 6:bl or bottom-left
-    |         |                        7:bc or bottom-center
-    6----7----8 <---- descent  8:br or bottom-right
-
-Glyph reference point symbols are to be used to specify composition
-rule of the form (GLOBAL-REF-POINT . NEW-REF-POINT), where
-GLOBAL-REF-POINT is a reference point in the overall glyphs already
-composed, and NEW-REF-POINT is a reference point in the new glyph to
-be added.
-
-For instance, if GLOBAL-REF-POINT is `br' (bottom-right) and
-NEW-REF-POINT is `tc' (top-center), the overall glyph is updated as
-follows (the point `*' corresponds to both reference points):
-
-    +-------+--+ <--- new ascent
-    |       |  |
-    | global|  |
-    | glyph |  |
- -- |       |  |-- <--- baseline (doesn't change)
-    +----+--*--+
-    |    | new |
-    |    |glyph|
-    +----+-----+ <--- new descent
-")
-
 (autoload 'encode-composition-rule "composite" "\
 Encode composition rule RULE into an integer value.
 RULE is a cons of global and new reference point symbols
@@ -4933,193 +4395,56 @@ RULE is a cons of global and new reference point symbols
 
 \(fn RULE)" nil nil)
 
-(autoload 'compose-region "composite" "\
-Compose characters in the current region.
+(autoload 'auto-composition-mode "composite" "\
+Toggle Auto Composition mode.
+With ARG, turn Auto Composition mode off if and only if ARG is a non-positive
+number; if ARG is nil, toggle Auto Composition mode; anything else turns Auto
+Composition on.
 
-Characters are composed relatively, i.e. composed by overstricking or
-stacking depending on ascent, descent and other properties.
+When Auto Composition is enabled, text characters are automatically composed
+by functions registered in `composition-function-table' (which see).
 
-When called from a program, expects these four arguments.
+You can use `global-auto-composition-mode' to turn on
+Auto Composition mode in all buffers (this is the default).
 
-First two arguments START and END are positions (integers or markers)
-specifying the region.
-
-Optional 3rd argument COMPONENTS, if non-nil, is a character or a
-sequence (vector, list, or string) of integers.  In this case,
-characters are composed not relatively but according to COMPONENTS.
+\(fn &optional ARG)" t nil)
 
-If it is a character, it is an alternate character to display instead
-of the text in the region.
+(defvar global-auto-composition-mode (not noninteractive) "\
+Non-nil if Global-Auto-Composition mode is enabled.
+See the command `global-auto-composition-mode' for a description of this minor mode.
+Setting this variable directly does not take effect;
+either customize it (see the info node `Easy Customization')
+or call the function `global-auto-composition-mode'.")
 
-If it is a string, the elements are alternate characters.
+(custom-autoload 'global-auto-composition-mode "composite" nil)
 
-If it is a vector or list, it is a sequence of alternate characters and
-composition rules, where (2N)th elements are characters and (2N+1)th
-elements are composition rules to specify how to compose (2N+2)th
-elements with previously composed N glyphs.
+(autoload 'global-auto-composition-mode "composite" "\
+Toggle Auto-Composition mode in every possible buffer.
+With prefix ARG, turn Global-Auto-Composition mode on if and only if ARG is positive.
+Auto-Composition mode is enabled in all buffers where `turn-on-auto-composition-if-enabled' would do it.
+See `auto-composition-mode' for more information on Auto-Composition mode.
 
-A composition rule is a cons of global and new glyph reference point
-symbols.  See the documentation of `reference-point-alist' for more
-detail.
+\(fn &optional ARG DUMMY)" t nil)
 
-Optional 4th argument MODIFICATION-FUNC is a function to call to
-adjust the composition when it gets invalid because of a change of
-text in the composition.
+;;;***
+\f
+;;;### (autoloads (conf-xdefaults-mode conf-ppd-mode conf-colon-mode
+;;;;;;  conf-space-keywords conf-space-mode conf-javaprop-mode conf-windows-mode
+;;;;;;  conf-unix-mode conf-mode) "conf-mode" "textmodes/conf-mode.el"
+;;;;;;  (18419 23124))
+;;; Generated autoloads from textmodes/conf-mode.el
 
-\(fn START END &optional COMPONENTS MODIFICATION-FUNC)" t nil)
+(autoload 'conf-mode "conf-mode" "\
+Mode for Unix and Windows Conf files and Java properties.
+Most conf files know only three kinds of constructs: parameter
+assignments optionally grouped into sections and comments.  Yet
+there is a great range of variation in the exact syntax of conf
+files.  See below for various wrapper commands that set up the
+details for some of the most widespread variants.
 
-(autoload 'decompose-region "composite" "\
-Decompose text in the current region.
-
-When called from a program, expects two arguments,
-positions (integers or markers) specifying the region.
-
-\(fn START END)" t nil)
-
-(autoload 'compose-string "composite" "\
-Compose characters in string STRING.
-
-The return value is STRING where `composition' property is put on all
-the characters in it.
-
-Optional 2nd and 3rd arguments START and END specify the range of
-STRING to be composed.  They default to the beginning and the end of
-STRING respectively.
-
-Optional 4th argument COMPONENTS, if non-nil, is a character or a
-sequence (vector, list, or string) of integers.  See the function
-`compose-region' for more detail.
-
-Optional 5th argument MODIFICATION-FUNC is a function to call to
-adjust the composition when it gets invalid because of a change of
-text in the composition.
-
-\(fn STRING &optional START END COMPONENTS MODIFICATION-FUNC)" nil nil)
-
-(autoload 'decompose-string "composite" "\
-Return STRING where `composition' property is removed.
-
-\(fn STRING)" nil nil)
-
-(autoload 'compose-chars "composite" "\
-Return a string from arguments in which all characters are composed.
-For relative composition, arguments are characters.
-For rule-based composition, Mth (where M is odd) arguments are
-characters, and Nth (where N is even) arguments are composition rules.
-A composition rule is a cons of glyph reference points of the form
-\(GLOBAL-REF-POINT . NEW-REF-POINT).  See the documentation of
-`reference-point-alist' for more detail.
-
-\(fn &rest ARGS)" nil nil)
-
-(autoload 'find-composition "composite" "\
-Return information about a composition at or nearest to buffer position POS.
-
-If the character at POS has `composition' property, the value is a list
-of FROM, TO, and VALID-P.
-
-FROM and TO specify the range of text that has the same `composition'
-property, VALID-P is non-nil if and only if this composition is valid.
-
-If there's no composition at POS, and the optional 2nd argument LIMIT
-is non-nil, search for a composition toward LIMIT.
-
-If no composition is found, return nil.
-
-Optional 3rd argument STRING, if non-nil, is a string to look for a
-composition in; nil means the current buffer.
-
-If a valid composition is found and the optional 4th argument DETAIL-P
-is non-nil, the return value is a list of FROM, TO, COMPONENTS,
-RELATIVE-P, MOD-FUNC, and WIDTH.
-
-COMPONENTS is a vector of integers, the meaning depends on RELATIVE-P.
-
-RELATIVE-P is t if the composition method is relative, else nil.
-
-If RELATIVE-P is t, COMPONENTS is a vector of characters to be
-composed.  If RELATIVE-P is nil, COMPONENTS is a vector of characters
-and composition rules as described in `compose-region'.
-
-MOD-FUNC is a modification function of the composition.
-
-WIDTH is a number of columns the composition occupies on the screen.
-
-\(fn POS &optional LIMIT STRING DETAIL-P)" nil nil)
-
-(autoload 'compose-chars-after "composite" "\
-Compose characters in current buffer after position POS.
-
-It looks up the char-table `composition-function-table' (which see) by
-a character after POS.  If non-nil value is found, the format of the
-value should be an alist of PATTERNs vs FUNCs, where PATTERNs are
-regular expressions and FUNCs are functions.  If the text after POS
-matches one of PATTERNs, call the corresponding FUNC with three
-arguments POS, TO, and PATTERN, where TO is the end position of text
-matching PATTERN, and return what FUNC returns.  Otherwise, return
-nil.
-
-FUNC is responsible for composing the text properly.  The return value
-is:
-  nil -- if no characters were composed.
-  CHARS (integer) -- if CHARS characters were composed.
-
-Optional 2nd arg LIMIT, if non-nil, limits the matching of text.
-
-Optional 3rd arg OBJECT, if non-nil, is a string that contains the
-text to compose.  In that case, POS and LIMIT index to the string.
-
-This function is the default value of `compose-chars-after-function'.
-
-\(fn POS &optional LIMIT OBJECT)" nil nil)
-
-(autoload 'compose-last-chars "composite" "\
-Compose last characters.
-The argument is a parameterized event of the form
-       (compose-last-chars N COMPONENTS),
-where N is the number of characters before point to compose,
-COMPONENTS, if non-nil, is the same as the argument to `compose-region'
-\(which see).  If it is nil, `compose-chars-after' is called,
-and that function finds a proper rule to compose the target characters.
-This function is intended to be used from input methods.
-The global keymap binds special event `compose-last-chars' to this
-function.  Input method may generate an event (compose-last-chars N COMPONENTS)
-after a sequence of character events.
-
-\(fn ARGS)" t nil)
-(global-set-key [compose-last-chars] 'compose-last-chars)
-
-(autoload 'decompose-composite-char "composite" "\
-Convert CHAR to string.
-
-If optional 2nd arg TYPE is non-nil, it is `string', `list', or
-`vector'.  In this case, CHAR is converted to string, list of CHAR, or
-vector of CHAR respectively.
-Optional 3rd arg WITH-COMPOSITION-RULE is ignored.
-
-\(fn CHAR &optional TYPE WITH-COMPOSITION-RULE)" nil nil)
-
-(make-obsolete 'decompose-composite-char 'char-to-string "21.1")
-
-;;;***
-\f
-;;;### (autoloads (conf-xdefaults-mode conf-ppd-mode conf-colon-mode
-;;;;;;  conf-space-keywords conf-space-mode conf-javaprop-mode conf-windows-mode
-;;;;;;  conf-unix-mode conf-mode) "conf-mode" "textmodes/conf-mode.el"
-;;;;;;  (18208 48754))
-;;; Generated autoloads from textmodes/conf-mode.el
-
-(autoload 'conf-mode "conf-mode" "\
-Mode for Unix and Windows Conf files and Java properties.
-Most conf files know only three kinds of constructs: parameter
-assignments optionally grouped into sections and comments.  Yet
-there is a great range of variation in the exact syntax of conf
-files.  See below for various wrapper commands that set up the
-details for some of the most widespread variants.
-
-This mode sets up font locking, outline, imenu and it provides
-alignment support through `conf-align-assignments'.  If strings
-come out wrong, try `conf-quote-normal'.
+This mode sets up font locking, outline, imenu and it provides
+alignment support through `conf-align-assignments'.  If strings
+come out wrong, try `conf-quote-normal'.
 
 Some files allow continuation lines, either with a backslash at
 the end of line, or by indenting the next line (further).  These
@@ -5262,7 +4587,7 @@ For details see `conf-mode'.  Example:
 ;;;***
 \f
 ;;;### (autoloads (shuffle-vector cookie-snarf cookie-insert cookie)
-;;;;;;  "cookie1" "play/cookie1.el" (18088 55113))
+;;;;;;  "cookie1" "play/cookie1.el" (18335 54541))
 ;;; Generated autoloads from play/cookie1.el
 
 (autoload 'cookie "cookie1" "\
@@ -5294,7 +4619,7 @@ Randomly permute the elements of VECTOR (all permutations equally likely).
 ;;;***
 \f
 ;;;### (autoloads (copyright copyright-fix-years copyright-update)
-;;;;;;  "copyright" "emacs-lisp/copyright.el" (18183 58476))
+;;;;;;  "copyright" "emacs-lisp/copyright.el" (18427 42292))
 ;;; Generated autoloads from emacs-lisp/copyright.el
 
 (autoload 'copyright-update "copyright" "\
@@ -5322,9 +4647,15 @@ Insert a copyright by $ORGANIZATION notice at cursor.
 ;;;***
 \f
 ;;;### (autoloads (cperl-perldoc-at-point cperl-perldoc cperl-mode)
-;;;;;;  "cperl-mode" "progmodes/cperl-mode.el" (18214 4479))
+;;;;;;  "cperl-mode" "progmodes/cperl-mode.el" (18369 28763))
 ;;; Generated autoloads from progmodes/cperl-mode.el
 (put 'cperl-indent-level 'safe-local-variable 'integerp)
+(put 'cperl-brace-offset 'safe-local-variable 'integerp)
+(put 'cperl-continued-brace-offset 'safe-local-variable 'integerp)
+(put 'cperl-label-offset 'safe-local-variable 'integerp)
+(put 'cperl-continued-statement-offset 'safe-local-variable 'integerp)
+(put 'cperl-extra-newline-before-brace 'safe-local-variable 'booleanp)
+(put 'cperl-merge-trailing-else 'safe-local-variable 'booleanp)
 
 (autoload 'cperl-mode "cperl-mode" "\
 Major mode for editing Perl code.
@@ -5514,7 +4845,7 @@ Run a `perldoc' on the word around point.
 ;;;***
 \f
 ;;;### (autoloads (cpp-parse-edit cpp-highlight-buffer) "cpp" "progmodes/cpp.el"
-;;;;;;  (18120 34752))
+;;;;;;  (18335 54542))
 ;;; Generated autoloads from progmodes/cpp.el
 
 (autoload 'cpp-highlight-buffer "cpp" "\
@@ -5533,7 +4864,7 @@ Edit display information for cpp conditionals.
 ;;;***
 \f
 ;;;### (autoloads (crisp-mode crisp-mode) "crisp" "emulation/crisp.el"
-;;;;;;  (18088 55097))
+;;;;;;  (18335 54523))
 ;;; Generated autoloads from emulation/crisp.el
 
 (defvar crisp-mode nil "\
@@ -5557,7 +4888,7 @@ With ARG, turn CRiSP mode on if ARG is positive, off otherwise.
 ;;;***
 \f
 ;;;### (autoloads (completing-read-multiple) "crm" "emacs-lisp/crm.el"
-;;;;;;  (18088 55095))
+;;;;;;  (18335 54522))
 ;;; Generated autoloads from emacs-lisp/crm.el
 
 (autoload 'completing-read-multiple "crm" "\
@@ -5592,8 +4923,8 @@ INHERIT-INPUT-METHOD.
 
 ;;;***
 \f
-;;;### (autoloads (css-mode) "css-mode" "textmodes/css-mode.el" (18211
-;;;;;;  32385))
+;;;### (autoloads (css-mode) "css-mode" "textmodes/css-mode.el" (18388
+;;;;;;  34730))
 ;;; Generated autoloads from textmodes/css-mode.el
  (add-to-list 'auto-mode-alist '("\\.css\\'" . css-mode))
 
@@ -5605,7 +4936,7 @@ Major mode to edit Cascading Style Sheets.
 ;;;***
 \f
 ;;;### (autoloads (cua-selection-mode cua-mode) "cua-base" "emulation/cua-base.el"
-;;;;;;  (18186 14736))
+;;;;;;  (18419 64351))
 ;;; Generated autoloads from emulation/cua-base.el
 
 (defvar cua-mode nil "\
@@ -5664,7 +4995,7 @@ Enable CUA selection mode without the C-z/C-x/C-c/C-v bindings.
 ;;;;;;  customize-mode customize customize-save-variable customize-set-variable
 ;;;;;;  customize-set-value custom-menu-sort-alphabetically custom-buffer-sort-alphabetically
 ;;;;;;  custom-browse-sort-alphabetically) "cus-edit" "cus-edit.el"
-;;;;;;  (18212 54459))
+;;;;;;  (18375 33070))
 ;;; Generated autoloads from cus-edit.el
 
 (defvar custom-browse-sort-alphabetically nil "\
@@ -5862,7 +5193,7 @@ that are not customizable options, as well as faces and groups
 (autoload 'customize-apropos-options "cus-edit" "\
 Customize all loaded customizable options matching REGEXP.
 With prefix arg, include variables that are not customizable options
-\(but we recommend using `apropos-variable' instead).
+\(but it is better to use `apropos-variable' if you want to find those).
 
 \(fn REGEXP &optional ARG)" t nil)
 
@@ -5959,80 +5290,10 @@ The format is suitable for use with `easy-menu-define'.
 
 \(fn SYMBOL &optional NAME)" nil nil)
 
-;;;***
-\f
-;;;### (autoloads (custom-reset-faces custom-theme-reset-faces custom-set-faces
-;;;;;;  custom-declare-face) "cus-face" "cus-face.el" (18157 61328))
-;;; Generated autoloads from cus-face.el
-
-(autoload 'custom-declare-face "cus-face" "\
-Like `defface', but FACE is evaluated as a normal argument.
-
-\(fn FACE SPEC DOC &rest ARGS)" nil nil)
-
-(defconst custom-face-attributes '((:family (string :tag "Font Family" :help-echo "Font family or fontset alias name.")) (:width (choice :tag "Width" :help-echo "Font width." :value normal (const :tag "compressed" condensed) (const :tag "condensed" condensed) (const :tag "demiexpanded" semi-expanded) (const :tag "expanded" expanded) (const :tag "extracondensed" extra-condensed) (const :tag "extraexpanded" extra-expanded) (const :tag "medium" normal) (const :tag "narrow" condensed) (const :tag "normal" normal) (const :tag "regular" normal) (const :tag "semicondensed" semi-condensed) (const :tag "semiexpanded" semi-expanded) (const :tag "ultracondensed" ultra-condensed) (const :tag "ultraexpanded" ultra-expanded) (const :tag "wide" extra-expanded))) (:height (choice :tag "Height" :help-echo "Face's font height." :value 1.0 (integer :tag "Height in 1/10 pt") (number :tag "Scale" 1.0))) (:weight (choice :tag "Weight" :help-echo "Font weight." :value normal (const :tag "black" ultra-bold) (const :tag "bold" bold) (const :tag "book" semi-light) (const :tag "demibold" semi-bold) (const :tag "extralight" extra-light) (const :tag "extrabold" extra-bold) (const :tag "heavy" extra-bold) (const :tag "light" light) (const :tag "medium" normal) (const :tag "normal" normal) (const :tag "regular" normal) (const :tag "semibold" semi-bold) (const :tag "semilight" semi-light) (const :tag "ultralight" ultra-light) (const :tag "ultrabold" ultra-bold))) (:slant (choice :tag "Slant" :help-echo "Font slant." :value normal (const :tag "italic" italic) (const :tag "oblique" oblique) (const :tag "normal" normal))) (:underline (choice :tag "Underline" :help-echo "Control text underlining." (const :tag "Off" nil) (const :tag "On" t) (color :tag "Colored"))) (:overline (choice :tag "Overline" :help-echo "Control text overlining." (const :tag "Off" nil) (const :tag "On" t) (color :tag "Colored"))) (:strike-through (choice :tag "Strike-through" :help-echo "Control text strike-through." (const :tag "Off" nil) (const :tag "On" t) (color :tag "Colored"))) (:box (choice :tag "Box around text" :help-echo "Control box around text." (const :tag "Off" nil) (list :tag "Box" :value (:line-width 2 :color "grey75" :style released-button) (const :format "" :value :line-width) (integer :tag "Width") (const :format "" :value :color) (choice :tag "Color" (const :tag "*" nil) color) (const :format "" :value :style) (choice :tag "Style" (const :tag "Raised" released-button) (const :tag "Sunken" pressed-button) (const :tag "None" nil)))) (lambda (real-value) (and real-value (let ((lwidth (or (and (consp real-value) (plist-get real-value :line-width)) (and (integerp real-value) real-value) 1)) (color (or (and (consp real-value) (plist-get real-value :color)) (and (stringp real-value) real-value) nil)) (style (and (consp real-value) (plist-get real-value :style)))) (list :line-width lwidth :color color :style style)))) (lambda (cus-value) (and cus-value (let ((lwidth (plist-get cus-value :line-width)) (color (plist-get cus-value :color)) (style (plist-get cus-value :style))) (cond ((and (null color) (null style)) lwidth) ((and (null lwidth) (null style)) color) (t (nconc (and lwidth `(:line-width ,lwidth)) (and color `(:color ,color)) (and style `(:style ,style))))))))) (:inverse-video (choice :tag "Inverse-video" :help-echo "Control whether text should be in inverse-video." (const :tag "Off" nil) (const :tag "On" t))) (:foreground (color :tag "Foreground" :help-echo "Set foreground color (name or #RRGGBB hex spec).")) (:background (color :tag "Background" :help-echo "Set background color (name or #RRGGBB hex spec).")) (:stipple (choice :tag "Stipple" :help-echo "Background bit-mask" (const :tag "None" nil) (file :tag "File" :help-echo "Name of bitmap file." :must-match t))) (:inherit (repeat :tag "Inherit" :help-echo "List of faces to inherit attributes from." (face :Tag "Face" default)) (lambda (real-value) (cond ((or (null real-value) (eq real-value 'unspecified)) nil) ((symbolp real-value) (list real-value)) (t real-value))) (lambda (cus-value) (if (and (consp cus-value) (null (cdr cus-value))) (car cus-value) cus-value)))) "\
-Alist of face attributes.
-
-The elements are of the form (KEY TYPE PRE-FILTER POST-FILTER),
-where KEY is the name of the attribute, TYPE is a widget type for
-editing the attribute, PRE-FILTER is a function to make the attribute's
-value suitable for the customization widget, and POST-FILTER is a
-function to make the customized value suitable for storing.  PRE-FILTER
-and POST-FILTER are optional.
-
-The PRE-FILTER should take a single argument, the attribute value as
-stored, and should return a value for customization (using the
-customization type TYPE).
-
-The POST-FILTER should also take a single argument, the value after
-being customized, and should return a value suitable for setting the
-given face attribute.")
-
-(autoload 'custom-set-faces "cus-face" "\
-Initialize faces according to user preferences.
-This associates the settings with the `user' theme.
-The arguments should be a list where each entry has the form:
-
-  (FACE SPEC [NOW [COMMENT]])
-
-SPEC is stored as the saved value for FACE, as well as the value for the
-`user' theme.  The `user' theme is one of the default themes known to Emacs.
-See `custom-known-themes' for more information on the known themes.
-See `custom-theme-set-faces' for more information on the interplay
-between themes and faces.
-See `defface' for the format of SPEC.
-
-If NOW is present and non-nil, FACE is created now, according to SPEC.
-COMMENT is a string comment about FACE.
-
-\(fn &rest ARGS)" nil nil)
-
-(autoload 'custom-theme-reset-faces "cus-face" "\
-Reset the specs in THEME of some faces to their specs in other themes.
-Each of the arguments ARGS has this form:
-
-    (FACE IGNORED)
-
-This means reset FACE.  The argument IGNORED is ignored.
-
-\(fn THEME &rest ARGS)" nil nil)
-
-(autoload 'custom-reset-faces "cus-face" "\
-Reset the specs of some faces to their specs in specified themes.
-This creates settings in the `user' theme.
-
-Each of the arguments ARGS has this form:
-
-    (FACE FROM-THEME)
-
-This means reset FACE to its value in FROM-THEME.
-
-\(fn &rest ARGS)" nil nil)
-
 ;;;***
 \f
 ;;;### (autoloads (customize-create-theme) "cus-theme" "cus-theme.el"
-;;;;;;  (18088 55081))
+;;;;;;  (18335 54512))
 ;;; Generated autoloads from cus-theme.el
 
 (autoload 'customize-create-theme "cus-theme" "\
@@ -6043,7 +5304,7 @@ Create a custom theme.
 ;;;***
 \f
 ;;;### (autoloads (cvs-status-mode) "cvs-status" "cvs-status.el"
-;;;;;;  (18088 55081))
+;;;;;;  (18335 54512))
 ;;; Generated autoloads from cvs-status.el
 
 (autoload 'cvs-status-mode "cvs-status" "\
@@ -6054,7 +5315,7 @@ Mode used for cvs status output.
 ;;;***
 \f
 ;;;### (autoloads (global-cwarn-mode turn-on-cwarn-mode cwarn-mode)
-;;;;;;  "cwarn" "progmodes/cwarn.el" (18088 55115))
+;;;;;;  "cwarn" "progmodes/cwarn.el" (18335 54542))
 ;;; Generated autoloads from progmodes/cwarn.el
 
 (autoload 'cwarn-mode "cwarn" "\
@@ -6097,7 +5358,7 @@ See `cwarn-mode' for more information on Cwarn mode.
 \f
 ;;;### (autoloads (standard-display-cyrillic-translit cyrillic-encode-alternativnyj-char
 ;;;;;;  cyrillic-encode-koi8-r-char) "cyril-util" "language/cyril-util.el"
-;;;;;;  (18088 55108))
+;;;;;;  (18341 12911))
 ;;; Generated autoloads from language/cyril-util.el
 
 (autoload 'cyrillic-encode-koi8-r-char "cyril-util" "\
@@ -6126,7 +5387,7 @@ If the argument is nil, we return the display table to its standard state.
 ;;;***
 \f
 ;;;### (autoloads (dabbrev-expand dabbrev-completion) "dabbrev" "dabbrev.el"
-;;;;;;  (18126 18419))
+;;;;;;  (18404 55763))
 ;;; Generated autoloads from dabbrev.el
  (define-key esc-map "/" 'dabbrev-expand)
  (define-key esc-map [?\C-/] 'dabbrev-completion)
@@ -6170,8 +5431,21 @@ See also `dabbrev-abbrev-char-regexp' and \\[dabbrev-completion].
 
 ;;;***
 \f
-;;;### (autoloads (dcl-mode) "dcl-mode" "progmodes/dcl-mode.el" (18203
-;;;;;;  37789))
+;;;### (autoloads (dbus-handle-event) "dbus" "net/dbus.el" (18351
+;;;;;;  56657))
+;;; Generated autoloads from net/dbus.el
+
+(autoload 'dbus-handle-event "dbus" "\
+Handle events from the D-Bus.
+EVENT is a D-Bus event, see `dbus-check-event'.  HANDLER, being
+part of the event, is called with arguments ARGS.
+
+\(fn EVENT)" t nil)
+
+;;;***
+\f
+;;;### (autoloads (dcl-mode) "dcl-mode" "progmodes/dcl-mode.el" (18335
+;;;;;;  54542))
 ;;; Generated autoloads from progmodes/dcl-mode.el
 
 (autoload 'dcl-mode "dcl-mode" "\
@@ -6298,7 +5572,7 @@ There is some minimal font-lock support (see vars
 ;;;***
 \f
 ;;;### (autoloads (cancel-debug-on-entry debug-on-entry debug) "debug"
-;;;;;;  "emacs-lisp/debug.el" (18197 21672))
+;;;;;;  "emacs-lisp/debug.el" (18425 32958))
 ;;; Generated autoloads from emacs-lisp/debug.el
 
 (setq debugger 'debug)
@@ -6342,7 +5616,7 @@ To specify a nil argument interactively, exit with an empty minibuffer.
 ;;;***
 \f
 ;;;### (autoloads (decipher-mode decipher) "decipher" "play/decipher.el"
-;;;;;;  (18202 4002))
+;;;;;;  (18335 54541))
 ;;; Generated autoloads from play/decipher.el
 
 (autoload 'decipher "decipher" "\
@@ -6371,8 +5645,8 @@ The most useful commands are:
 ;;;***
 \f
 ;;;### (autoloads (delimit-columns-rectangle delimit-columns-region
-;;;;;;  delimit-columns-customize) "delim-col" "delim-col.el" (18088
-;;;;;;  55081))
+;;;;;;  delimit-columns-customize) "delim-col" "delim-col.el" (18335
+;;;;;;  54512))
 ;;; Generated autoloads from delim-col.el
 
 (autoload 'delimit-columns-customize "delim-col" "\
@@ -6396,8 +5670,8 @@ START and END delimits the corners of text rectangle.
 
 ;;;***
 \f
-;;;### (autoloads (delphi-mode) "delphi" "progmodes/delphi.el" (18200
-;;;;;;  51266))
+;;;### (autoloads (delphi-mode) "delphi" "progmodes/delphi.el" (18335
+;;;;;;  54542))
 ;;; Generated autoloads from progmodes/delphi.el
 
 (autoload 'delphi-mode "delphi" "\
@@ -6447,8 +5721,8 @@ no args, if that value is non-nil.
 
 ;;;***
 \f
-;;;### (autoloads (delete-selection-mode) "delsel" "delsel.el" (18186
-;;;;;;  14736))
+;;;### (autoloads (delete-selection-mode) "delsel" "delsel.el" (18335
+;;;;;;  54512))
 ;;; Generated autoloads from delsel.el
 
 (defalias 'pending-delete-mode 'delete-selection-mode)
@@ -6464,8 +5738,8 @@ or call the function `delete-selection-mode'.")
 
 (autoload 'delete-selection-mode "delsel" "\
 Toggle Delete Selection mode.
-With prefix ARG, turn Delete Selection mode on if and only if ARG is
-positive.
+With prefix ARG, turn Delete Selection mode on if ARG is
+positive, off if ARG is not positive.
 
 When Delete Selection mode is enabled, Transient Mark mode is also
 enabled and typed text replaces the selection if the selection is
@@ -6477,7 +5751,7 @@ any selection.
 ;;;***
 \f
 ;;;### (autoloads (derived-mode-init-mode-variables define-derived-mode)
-;;;;;;  "derived" "emacs-lisp/derived.el" (18088 55095))
+;;;;;;  "derived" "emacs-lisp/derived.el" (18335 54522))
 ;;; Generated autoloads from emacs-lisp/derived.el
 
 (autoload 'define-derived-mode "derived" "\
@@ -6542,7 +5816,7 @@ the first time the mode is used.
 ;;;***
 \f
 ;;;### (autoloads (describe-char describe-text-properties) "descr-text"
-;;;;;;  "descr-text.el" (18088 55081))
+;;;;;;  "descr-text.el" (18400 31482))
 ;;; Generated autoloads from descr-text.el
 
 (autoload 'describe-text-properties "descr-text" "\
@@ -6568,7 +5842,7 @@ as well as widgets, buttons, overlays, and text properties.
 ;;;### (autoloads (desktop-revert desktop-save-in-desktop-dir desktop-change-dir
 ;;;;;;  desktop-load-default desktop-read desktop-remove desktop-save
 ;;;;;;  desktop-clear desktop-locals-to-save desktop-save-mode) "desktop"
-;;;;;;  "desktop.el" (18211 32385))
+;;;;;;  "desktop.el" (18394 48033))
 ;;; Generated autoloads from desktop.el
 
 (defvar desktop-save-mode nil "\
@@ -6752,7 +6026,7 @@ Revert to the last loaded desktop.
 \f
 ;;;### (autoloads (gnus-article-outlook-deuglify-article gnus-outlook-deuglify-article
 ;;;;;;  gnus-article-outlook-repair-attribution gnus-article-outlook-unwrap-lines)
-;;;;;;  "deuglify" "gnus/deuglify.el" (18212 46006))
+;;;;;;  "deuglify" "gnus/deuglify.el" (18369 28758))
 ;;; Generated autoloads from gnus/deuglify.el
 
 (autoload 'gnus-article-outlook-unwrap-lines "deuglify" "\
@@ -6782,34 +6056,16 @@ Deuglify broken Outlook (Express) articles and redisplay.
 
 \(fn)" t nil)
 
-;;;***
-\f
-;;;### (autoloads (devanagari-post-read-conversion devanagari-compose-region)
-;;;;;;  "devan-util" "language/devan-util.el" (18088 55109))
-;;; Generated autoloads from language/devan-util.el
-
-(defconst devanagari-consonant "[\x51ad5-\x51af9\x51b38-\x51b3f]")
-
-(autoload 'devanagari-compose-region "devan-util" "\
-Not documented
-
-\(fn FROM TO)" t nil)
-
-(autoload 'devanagari-post-read-conversion "devan-util" "\
-Not documented
-
-\(fn LEN)" nil nil)
-
 ;;;***
 \f
 ;;;### (autoloads (diary-mode diary-mail-entries diary) "diary-lib"
-;;;;;;  "calendar/diary-lib.el" (18157 34340))
+;;;;;;  "calendar/diary-lib.el" (18426 29950))
 ;;; Generated autoloads from calendar/diary-lib.el
 
 (autoload 'diary "diary-lib" "\
 Generate the diary window for ARG days starting with the current date.
 If no argument is provided, the number of days of diary entries is governed
-by the variable `number-of-diary-entries'.  A value of ARG less than 1
+by the variable `diary-number-of-entries'.  A value of ARG less than 1
 does nothing.  This function is suitable for execution in a `.emacs' file.
 
 \(fn &optional ARG)" t nil)
@@ -6819,25 +6075,22 @@ Send a mail message showing diary entries for next NDAYS days.
 If no prefix argument is given, NDAYS is set to `diary-mail-days'.
 Mail is sent to the address specified by `diary-mail-addr'.
 
-You can call `diary-mail-entries' every night using an at/cron job.
-For example, this script will run the program at 2am daily.  Since
-`emacs -batch' does not load your `.emacs' file, you must ensure that
-all relevant variables are set, as done here.
-
-#!/bin/sh
-# diary-rem.sh -- repeatedly run the Emacs diary-reminder
-emacs -batch \\
--eval \"(setq diary-mail-days 3 \\
-             diary-file \\\"/path/to/diary.file\\\" \\
-             european-calendar-style t \\
-             diary-mail-addr \\\"user@host.name\\\" )\" \\
--l diary-lib -f diary-mail-entries
-at -f diary-rem.sh 0200 tomorrow
-
-You may have to tweak the syntax of the `at' command to suit your
-system.  Alternatively, you can specify a cron entry:
-0 1 * * * diary-rem.sh
-to run it every morning at 1am.
+Here is an example of a script to call `diary-mail-entries',
+suitable for regular scheduling using cron (or at).  Note that
+since `emacs -script' does not load your `.emacs' file, you
+should ensure that all relevant variables are set.
+
+#!/usr/bin/emacs -script
+;; diary-rem.el - run the Emacs diary-reminder
+
+\(setq diary-mail-days 3
+      diary-file \"/path/to/diary.file\"
+      calendar-date-style 'european
+      diary-mail-addr \"user@host.name\")
+
+\(diary-mail-entries)
+
+# diary-rem.el ends here
 
 \(fn &optional NDAYS)" t nil)
 
@@ -6849,7 +6102,7 @@ Major mode for editing the diary file.
 ;;;***
 \f
 ;;;### (autoloads (diff-backup diff diff-command diff-switches) "diff"
-;;;;;;  "diff.el" (18210 30826))
+;;;;;;  "diff.el" (18335 54512))
 ;;; Generated autoloads from diff.el
 
 (defvar diff-switches "-c" "\
@@ -6883,7 +6136,7 @@ With prefix arg, prompt for diff switches.
 ;;;***
 \f
 ;;;### (autoloads (diff-minor-mode diff-mode) "diff-mode" "diff-mode.el"
-;;;;;;  (18203 37786))
+;;;;;;  (18424 5726))
 ;;; Generated autoloads from diff-mode.el
 
 (autoload 'diff-mode "diff-mode" "\
@@ -6915,7 +6168,7 @@ Minor mode for viewing/editing context diffs.
 ;;;;;;  dired dired-copy-preserve-time dired-dwim-target dired-keep-marker-symlink
 ;;;;;;  dired-keep-marker-hardlink dired-keep-marker-copy dired-keep-marker-rename
 ;;;;;;  dired-trivial-filenames dired-ls-F-marks-symlinks dired-listing-switches)
-;;;;;;  "dired" "dired.el" (18200 51263))
+;;;;;;  "dired" "dired.el" (18425 17359))
 ;;; Generated autoloads from dired.el
 
 (defvar dired-listing-switches "-al" "\
@@ -6929,7 +6182,7 @@ some of the `ls' switches are not supported; see the doc string of
 
 (custom-autoload 'dired-listing-switches "dired" t)
 
-(defvar dired-chown-program (if (memq system-type '(hpux dgux usg-unix-v irix linux gnu/linux cygwin)) "chown" (if (file-exists-p "/usr/sbin/chown") "/usr/sbin/chown" "/etc/chown")) "\
+(defvar dired-chown-program (if (memq system-type '(hpux usg-unix-v irix linux gnu/linux cygwin)) "chown" (if (file-exists-p "/usr/sbin/chown") "/usr/sbin/chown" "/etc/chown")) "\
 Name of chown command (usually `chown' or `/etc/chown').")
 
 (defvar dired-ls-F-marks-symlinks nil "\
@@ -7120,7 +6373,7 @@ Keybindings:
 ;;;;;;  dired-run-shell-command dired-do-shell-command dired-clean-directory
 ;;;;;;  dired-do-print dired-do-touch dired-do-chown dired-do-chgrp
 ;;;;;;  dired-do-chmod dired-compare-directories dired-backup-diff
-;;;;;;  dired-diff) "dired-aux" "dired-aux.el" (18169 11930))
+;;;;;;  dired-diff) "dired-aux" "dired-aux.el" (18427 6091))
 ;;; Generated autoloads from dired-aux.el
 
 (autoload 'dired-diff "dired-aux" "\
@@ -7535,7 +6788,7 @@ true then the type of the file linked to by FILE is printed instead.
 ;;;***
 \f
 ;;;### (autoloads (dired-do-relsymlink dired-jump) "dired-x" "dired-x.el"
-;;;;;;  (18212 46004))
+;;;;;;  (18335 54512))
 ;;; Generated autoloads from dired-x.el
 
 (autoload 'dired-jump "dired-x" "\
@@ -7565,12 +6818,16 @@ For absolute symlinks, use \\[dired-do-symlink].
 ;;;***
 \f
 ;;;### (autoloads (dirtrack dirtrack-mode) "dirtrack" "dirtrack.el"
-;;;;;;  (18213 13926))
+;;;;;;  (18335 54512))
 ;;; Generated autoloads from dirtrack.el
 
 (autoload 'dirtrack-mode "dirtrack" "\
 Enable or disable Dirtrack directory tracking in a shell buffer.
-This provides an alternative to `shell-dirtrack-mode'.
+This method requires that your shell prompt contain the full
+current working directory at all times, and that `dirtrack-list'
+is set to match the prompt.  This is an alternative to
+`shell-dirtrack-mode', which works differently, by tracking `cd'
+and similar commands which change the shell working directory.
 
 \(fn &optional ARG)" t nil)
 
@@ -7587,8 +6844,8 @@ function `dirtrack-debug-mode' to turn on debugging output.
 
 ;;;***
 \f
-;;;### (autoloads (disassemble) "disass" "emacs-lisp/disass.el" (18173
-;;;;;;  8195))
+;;;### (autoloads (disassemble) "disass" "emacs-lisp/disass.el" (18335
+;;;;;;  54522))
 ;;; Generated autoloads from emacs-lisp/disass.el
 
 (autoload 'disassemble "disass" "\
@@ -7607,7 +6864,7 @@ redefine OBJECT if it is a symbol.
 ;;;;;;  standard-display-g1 standard-display-ascii standard-display-default
 ;;;;;;  standard-display-8bit describe-current-display-table describe-display-table
 ;;;;;;  set-display-table-slot display-table-slot make-display-table)
-;;;;;;  "disp-table" "disp-table.el" (18088 55082))
+;;;;;;  "disp-table" "disp-table.el" (18377 47963))
 ;;; Generated autoloads from disp-table.el
 
 (autoload 'make-display-table "disp-table" "\
@@ -7723,7 +6980,7 @@ for users who call this function in `.emacs'.
 ;;;***
 \f
 ;;;### (autoloads (dissociated-press) "dissociate" "play/dissociate.el"
-;;;;;;  (18088 55113))
+;;;;;;  (18335 54541))
 ;;; Generated autoloads from play/dissociate.el
 
 (autoload 'dissociated-press "dissociate" "\
@@ -7739,7 +6996,7 @@ Default is 2.
 
 ;;;***
 \f
-;;;### (autoloads (dnd-protocol-alist) "dnd" "dnd.el" (18088 55082))
+;;;### (autoloads (dnd-protocol-alist) "dnd" "dnd.el" (18341 12905))
 ;;; Generated autoloads from dnd.el
 
 (defvar dnd-protocol-alist '(("^file:///" . dnd-open-local-file) ("^file://" . dnd-open-file) ("^file:" . dnd-open-local-file) ("^\\(https?\\|ftp\\|file\\|nfs\\)://" . dnd-open-file)) "\
@@ -7760,7 +7017,7 @@ if some action was made, or nil if the URL is ignored.")
 ;;;***
 \f
 ;;;### (autoloads (dns-mode-soa-increment-serial dns-mode) "dns-mode"
-;;;;;;  "textmodes/dns-mode.el" (18088 55119))
+;;;;;;  "textmodes/dns-mode.el" (18335 54544))
 ;;; Generated autoloads from textmodes/dns-mode.el
 
 (autoload 'dns-mode "dns-mode" "\
@@ -7784,20 +7041,39 @@ Locate SOA record and increment the serial field.
 
 ;;;***
 \f
-;;;### (autoloads (doc-view-mode) "doc-view" "doc-view.el" (18201
-;;;;;;  33325))
+;;;### (autoloads (doc-view-bookmark-jump doc-view-minor-mode doc-view-mode
+;;;;;;  doc-view-mode-p) "doc-view" "doc-view.el" (18417 4383))
 ;;; Generated autoloads from doc-view.el
 
+(autoload 'doc-view-mode-p "doc-view" "\
+Return non-nil if image type TYPE is available for `doc-view'.
+Image types are symbols like `dvi', `postscript' or `pdf'.
+
+\(fn TYPE)" nil nil)
+
 (autoload 'doc-view-mode "doc-view" "\
 Major mode in DocView buffers.
 You can use \\<doc-view-mode-map>\\[doc-view-toggle-display] to
-toggle between display as a set of images and display as text.
+toggle between displaying the document or editing it as text.
+\\{doc-view-mode-map}
 
 \(fn)" t nil)
 
+(autoload 'doc-view-minor-mode "doc-view" "\
+Toggle Doc view minor mode.
+With arg, turn Doc view minor mode on if arg is positive, off otherwise.
+See the command `doc-view-mode' for more information on this mode.
+
+\(fn &optional ARG)" t nil)
+
+(autoload 'doc-view-bookmark-jump "doc-view" "\
+Not documented
+
+\(fn BMK)" nil nil)
+
 ;;;***
 \f
-;;;### (autoloads (doctor) "doctor" "play/doctor.el" (18088 55113))
+;;;### (autoloads (doctor) "doctor" "play/doctor.el" (18335 54541))
 ;;; Generated autoloads from play/doctor.el
 
 (autoload 'doctor "doctor" "\
@@ -7807,7 +7083,7 @@ Switch to *doctor* buffer and start giving psychotherapy.
 
 ;;;***
 \f
-;;;### (autoloads (double-mode) "double" "double.el" (18203 37786))
+;;;### (autoloads (double-mode) "double" "double.el" (18335 54512))
 ;;; Generated autoloads from double.el
 
 (autoload 'double-mode "double" "\
@@ -7822,7 +7098,7 @@ when pressed twice.  See variable `double-map' for details.
 
 ;;;***
 \f
-;;;### (autoloads (dunnet) "dunnet" "play/dunnet.el" (18088 55113))
+;;;### (autoloads (dunnet) "dunnet" "play/dunnet.el" (18335 54541))
 ;;; Generated autoloads from play/dunnet.el
 
 (autoload 'dunnet "dunnet" "\
@@ -7833,7 +7109,7 @@ Switch to *dungeon* buffer and start game.
 ;;;***
 \f
 ;;;### (autoloads (gnus-earcon-display) "earcon" "gnus/earcon.el"
-;;;;;;  (18088 55101))
+;;;;;;  (18335 54532))
 ;;; Generated autoloads from gnus/earcon.el
 
 (autoload 'gnus-earcon-display "earcon" "\
@@ -7845,7 +7121,7 @@ Play sounds in message buffers.
 \f
 ;;;### (autoloads (easy-mmode-defsyntax easy-mmode-defmap easy-mmode-define-keymap
 ;;;;;;  define-globalized-minor-mode define-minor-mode) "easy-mmode"
-;;;;;;  "emacs-lisp/easy-mmode.el" (18201 33326))
+;;;;;;  "emacs-lisp/easy-mmode.el" (18335 54522))
 ;;; Generated autoloads from emacs-lisp/easy-mmode.el
 
 (defalias 'easy-mmode-define-minor-mode 'define-minor-mode)
@@ -7939,8 +7215,8 @@ CSS contains a list of syntax specifications of the form (CHAR . SYNTAX).
 ;;;***
 \f
 ;;;### (autoloads (easy-menu-change easy-menu-create-menu easy-menu-do-define
-;;;;;;  easy-menu-define) "easymenu" "emacs-lisp/easymenu.el" (18120
-;;;;;;  34750))
+;;;;;;  easy-menu-define) "easymenu" "emacs-lisp/easymenu.el" (18335
+;;;;;;  54522))
 ;;; Generated autoloads from emacs-lisp/easymenu.el
 
 (put 'easy-menu-define 'lisp-indent-function 'defun)
@@ -8013,10 +7289,15 @@ whenever this expression's value is non-nil.
 INCLUDE is an expression; this item is only visible if this
 expression has a non-nil value.  `:included' is an alias for `:visible'.
 
+   :label FORM
+
+FORM is an expression that will be dynamically evaluated and whose
+value will be used for the menu entry's text label (the default is NAME).
+
    :suffix FORM
 
 FORM is an expression that will be dynamically evaluated and whose
-value will be concatenated to the menu entry's NAME.
+value will be concatenated to the menu entry's label.
 
    :style STYLE
 
@@ -8089,7 +7370,7 @@ To implement dynamic menus, either call this from
 ;;;;;;  ebnf-eps-file ebnf-eps-directory ebnf-spool-region ebnf-spool-buffer
 ;;;;;;  ebnf-spool-file ebnf-spool-directory ebnf-print-region ebnf-print-buffer
 ;;;;;;  ebnf-print-file ebnf-print-directory ebnf-customize) "ebnf2ps"
-;;;;;;  "progmodes/ebnf2ps.el" (18192 17587))
+;;;;;;  "progmodes/ebnf2ps.el" (18335 54542))
 ;;; Generated autoloads from progmodes/ebnf2ps.el
 
 (autoload 'ebnf-customize "ebnf2ps" "\
@@ -8363,8 +7644,8 @@ See `ebnf-style-database' documentation.
 ;;;;;;  ebrowse-tags-find-declaration-other-window ebrowse-tags-find-definition
 ;;;;;;  ebrowse-tags-view-definition ebrowse-tags-find-declaration
 ;;;;;;  ebrowse-tags-view-declaration ebrowse-member-mode ebrowse-electric-choose-tree
-;;;;;;  ebrowse-tree-mode) "ebrowse" "progmodes/ebrowse.el" (18197
-;;;;;;  21675))
+;;;;;;  ebrowse-tree-mode) "ebrowse" "progmodes/ebrowse.el" (18335
+;;;;;;  54542))
 ;;; Generated autoloads from progmodes/ebrowse.el
 
 (autoload 'ebrowse-tree-mode "ebrowse" "\
@@ -8515,7 +7796,7 @@ Display statistics for a class tree.
 ;;;***
 \f
 ;;;### (autoloads (electric-buffer-list) "ebuff-menu" "ebuff-menu.el"
-;;;;;;  (18133 2196))
+;;;;;;  (18335 54512))
 ;;; Generated autoloads from ebuff-menu.el
 
 (autoload 'electric-buffer-list "ebuff-menu" "\
@@ -8540,7 +7821,7 @@ Run hooks in `electric-buffer-menu-mode-hook' on entry.
 ;;;***
 \f
 ;;;### (autoloads (Electric-command-history-redo-expression) "echistory"
-;;;;;;  "echistory.el" (18133 2196))
+;;;;;;  "echistory.el" (18335 54512))
 ;;; Generated autoloads from echistory.el
 
 (autoload 'Electric-command-history-redo-expression "echistory" "\
@@ -8552,7 +7833,7 @@ With prefix arg NOCONFIRM, execute current line as-is without editing.
 ;;;***
 \f
 ;;;### (autoloads (ecomplete-setup) "ecomplete" "gnus/ecomplete.el"
-;;;;;;  (18212 21473))
+;;;;;;  (18335 54532))
 ;;; Generated autoloads from gnus/ecomplete.el
 
 (autoload 'ecomplete-setup "ecomplete" "\
@@ -8564,7 +7845,7 @@ Not documented
 \f
 ;;;### (autoloads (edebug-all-forms edebug-all-defs edebug-eval-top-level-form
 ;;;;;;  edebug-basic-spec edebug-all-forms edebug-all-defs) "edebug"
-;;;;;;  "emacs-lisp/edebug.el" (18197 21672))
+;;;;;;  "emacs-lisp/edebug.el" (18369 28755))
 ;;; Generated autoloads from emacs-lisp/edebug.el
 
 (defvar edebug-all-defs nil "\
@@ -8629,15 +7910,15 @@ Toggle edebugging of all forms.
 ;;;***
 \f
 ;;;### (autoloads (ediff-documentation ediff-version ediff-revision
-;;;;;;  ediff-patch-buffer ediff-patch-file run-ediff-from-cvs-buffer
-;;;;;;  ediff-merge-revisions-with-ancestor ediff-merge-revisions
-;;;;;;  ediff-merge-buffers-with-ancestor ediff-merge-buffers ediff-merge-files-with-ancestor
-;;;;;;  ediff-merge-files ediff-regions-linewise ediff-regions-wordwise
-;;;;;;  ediff-windows-linewise ediff-windows-wordwise ediff-merge-directory-revisions-with-ancestor
-;;;;;;  ediff-merge-directory-revisions ediff-merge-directories-with-ancestor
-;;;;;;  ediff-merge-directories ediff-directories3 ediff-directory-revisions
-;;;;;;  ediff-directories ediff-buffers3 ediff-buffers ediff-backup
-;;;;;;  ediff-files3 ediff-files) "ediff" "ediff.el" (18120 34749))
+;;;;;;  ediff-patch-buffer ediff-patch-file ediff-merge-revisions-with-ancestor
+;;;;;;  ediff-merge-revisions ediff-merge-buffers-with-ancestor ediff-merge-buffers
+;;;;;;  ediff-merge-files-with-ancestor ediff-merge-files ediff-regions-linewise
+;;;;;;  ediff-regions-wordwise ediff-windows-linewise ediff-windows-wordwise
+;;;;;;  ediff-merge-directory-revisions-with-ancestor ediff-merge-directory-revisions
+;;;;;;  ediff-merge-directories-with-ancestor ediff-merge-directories
+;;;;;;  ediff-directories3 ediff-directory-revisions ediff-directories
+;;;;;;  ediff-buffers3 ediff-buffers ediff-backup ediff-files3 ediff-files)
+;;;;;;  "ediff" "ediff.el" (18425 12540))
 ;;; Generated autoloads from ediff.el
 
 (autoload 'ediff-files "ediff" "\
@@ -8813,13 +8094,6 @@ buffer.
 
 \(fn &optional FILE STARTUP-HOOKS MERGE-BUFFER-FILE)" t nil)
 
-(autoload 'run-ediff-from-cvs-buffer "ediff" "\
-Run Ediff-merge on appropriate revisions of the selected file.
-First run after `M-x cvs-update'.  Then place the cursor on a line describing a
-file and then run `run-ediff-from-cvs-buffer'.
-
-\(fn POS)" t nil)
-
 (autoload 'ediff-patch-file "ediff" "\
 Run Ediff by patching SOURCE-FILENAME.
 If optional PATCH-BUF is given, use the patch in that buffer
@@ -8869,7 +8143,7 @@ With optional NODE, goes to that node.
 ;;;***
 \f
 ;;;### (autoloads (ediff-customize) "ediff-help" "ediff-help.el"
-;;;;;;  (18202 3993))
+;;;;;;  (18421 64850))
 ;;; Generated autoloads from ediff-help.el
 
 (autoload 'ediff-customize "ediff-help" "\
@@ -8879,20 +8153,15 @@ Not documented
 
 ;;;***
 \f
-;;;### (autoloads nil "ediff-hook" "ediff-hook.el" (18203 37786))
+;;;### (autoloads nil "ediff-hook" "ediff-hook.el" (18421 64851))
 ;;; Generated autoloads from ediff-hook.el
 
-(defvar ediff-window-setup-function)
- (defmacro ediff-cond-compile-for-xemacs-or-emacs (xemacs-form emacs-form) (if (featurep 'xemacs) xemacs-form emacs-form))
-
-(ediff-cond-compile-for-xemacs-or-emacs (defun ediff-xemacs-init-menus nil (if (featurep 'menubar) (progn (add-submenu '("Tools") ediff-menu "OO-Browser...") (add-submenu '("Tools") ediff-merge-menu "OO-Browser...") (add-submenu '("Tools") epatch-menu "OO-Browser...") (add-submenu '("Tools") ediff-misc-menu "OO-Browser...") (add-menu-button '("Tools") "-------" "OO-Browser...")))) nil)
-
-(ediff-cond-compile-for-xemacs-or-emacs (progn (defvar ediff-menu '("Compare" ["Two Files..." ediff-files t] ["Two Buffers..." ediff-buffers t] ["Three Files..." ediff-files3 t] ["Three Buffers..." ediff-buffers3 t] "---" ["Two Directories..." ediff-directories t] ["Three Directories..." ediff-directories3 t] "---" ["File with Revision..." ediff-revision t] ["Directory Revisions..." ediff-directory-revisions t] "---" ["Windows Word-by-word..." ediff-windows-wordwise t] ["Windows Line-by-line..." ediff-windows-linewise t] "---" ["Regions Word-by-word..." ediff-regions-wordwise t] ["Regions Line-by-line..." ediff-regions-linewise t])) (defvar ediff-merge-menu '("Merge" ["Files..." ediff-merge-files t] ["Files with Ancestor..." ediff-merge-files-with-ancestor t] ["Buffers..." ediff-merge-buffers t] ["Buffers with Ancestor..." ediff-merge-buffers-with-ancestor t] "---" ["Directories..." ediff-merge-directories t] ["Directories with Ancestor..." ediff-merge-directories-with-ancestor t] "---" ["Revisions..." ediff-merge-revisions t] ["Revisions with Ancestor..." ediff-merge-revisions-with-ancestor t] ["Directory Revisions..." ediff-merge-directory-revisions t] ["Directory Revisions with Ancestor..." ediff-merge-directory-revisions-with-ancestor t])) (defvar epatch-menu '("Apply Patch" ["To a file..." ediff-patch-file t] ["To a buffer..." ediff-patch-buffer t])) (defvar ediff-misc-menu '("Ediff Miscellanea" ["Ediff Manual" ediff-documentation t] ["Customize Ediff" ediff-customize t] ["List Ediff Sessions" ediff-show-registry t] ["Use separate frame for Ediff control buffer" ediff-toggle-multiframe :style toggle :selected (if (and (featurep 'ediff-util) (boundp 'ediff-window-setup-function)) (eq ediff-window-setup-function 'ediff-setup-windows-multiframe))] ["Use a toolbar with Ediff control buffer" ediff-toggle-use-toolbar :style toggle :selected (if (featurep 'ediff-tbar) (ediff-use-toolbar-p))])) (if (and (featurep 'menubar) (not (featurep 'infodock)) (not (featurep 'ediff-hook))) (ediff-xemacs-init-menus))) (if (featurep 'menu-bar) (progn (defvar menu-bar-ediff-misc-menu (make-sparse-keymap "Ediff Miscellanea")) (fset 'menu-bar-ediff-misc-menu (symbol-value 'menu-bar-ediff-misc-menu)) (defvar menu-bar-epatch-menu (make-sparse-keymap "Apply Patch")) (fset 'menu-bar-epatch-menu (symbol-value 'menu-bar-epatch-menu)) (defvar menu-bar-ediff-merge-menu (make-sparse-keymap "Merge")) (fset 'menu-bar-ediff-merge-menu (symbol-value 'menu-bar-ediff-merge-menu)) (defvar menu-bar-ediff-menu (make-sparse-keymap "Compare")) (fset 'menu-bar-ediff-menu (symbol-value 'menu-bar-ediff-menu)) (define-key menu-bar-ediff-menu [window] '("This Window and Next Window" . compare-windows)) (define-key menu-bar-ediff-menu [ediff-windows-linewise] '("Windows Line-by-line..." . ediff-windows-linewise)) (define-key menu-bar-ediff-menu [ediff-windows-wordwise] '("Windows Word-by-word..." . ediff-windows-wordwise)) (define-key menu-bar-ediff-menu [separator-ediff-windows] '("--")) (define-key menu-bar-ediff-menu [ediff-regions-linewise] '("Regions Line-by-line..." . ediff-regions-linewise)) (define-key menu-bar-ediff-menu [ediff-regions-wordwise] '("Regions Word-by-word..." . ediff-regions-wordwise)) (define-key menu-bar-ediff-menu [separator-ediff-regions] '("--")) (define-key menu-bar-ediff-menu [ediff-dir-revision] '("Directory Revisions..." . ediff-directory-revisions)) (define-key menu-bar-ediff-menu [ediff-revision] '("File with Revision..." . ediff-revision)) (define-key menu-bar-ediff-menu [separator-ediff-directories] '("--")) (define-key menu-bar-ediff-menu [ediff-directories3] '("Three Directories..." . ediff-directories3)) (define-key menu-bar-ediff-menu [ediff-directories] '("Two Directories..." . ediff-directories)) (define-key menu-bar-ediff-menu [separator-ediff-files] '("--")) (define-key menu-bar-ediff-menu [ediff-buffers3] '("Three Buffers..." . ediff-buffers3)) (define-key menu-bar-ediff-menu [ediff-files3] '("Three Files..." . ediff-files3)) (define-key menu-bar-ediff-menu [ediff-buffers] '("Two Buffers..." . ediff-buffers)) (define-key menu-bar-ediff-menu [ediff-files] '("Two Files..." . ediff-files)) (define-key menu-bar-ediff-merge-menu [ediff-merge-dir-revisions-with-ancestor] '("Directory Revisions with Ancestor..." . ediff-merge-directory-revisions-with-ancestor)) (define-key menu-bar-ediff-merge-menu [ediff-merge-dir-revisions] '("Directory Revisions..." . ediff-merge-directory-revisions)) (define-key menu-bar-ediff-merge-menu [ediff-merge-revisions-with-ancestor] '("Revisions with Ancestor..." . ediff-merge-revisions-with-ancestor)) (define-key menu-bar-ediff-merge-menu [ediff-merge-revisions] '("Revisions..." . ediff-merge-revisions)) (define-key menu-bar-ediff-merge-menu [separator-ediff-merge] '("--")) (define-key menu-bar-ediff-merge-menu [ediff-merge-directories-with-ancestor] '("Directories with Ancestor..." . ediff-merge-directories-with-ancestor)) (define-key menu-bar-ediff-merge-menu [ediff-merge-directories] '("Directories..." . ediff-merge-directories)) (define-key menu-bar-ediff-merge-menu [separator-ediff-merge-dirs] '("--")) (define-key menu-bar-ediff-merge-menu [ediff-merge-buffers-with-ancestor] '("Buffers with Ancestor..." . ediff-merge-buffers-with-ancestor)) (define-key menu-bar-ediff-merge-menu [ediff-merge-buffers] '("Buffers..." . ediff-merge-buffers)) (define-key menu-bar-ediff-merge-menu [ediff-merge-files-with-ancestor] '("Files with Ancestor..." . ediff-merge-files-with-ancestor)) (define-key menu-bar-ediff-merge-menu [ediff-merge-files] '("Files..." . ediff-merge-files)) (define-key menu-bar-epatch-menu [ediff-patch-buffer] '("To a Buffer..." . ediff-patch-buffer)) (define-key menu-bar-epatch-menu [ediff-patch-file] '("To a File..." . ediff-patch-file)) (define-key menu-bar-ediff-misc-menu [emultiframe] '("Toggle use of separate control buffer frame" . ediff-toggle-multiframe)) (define-key menu-bar-ediff-misc-menu [eregistry] '("List Ediff Sessions" . ediff-show-registry)) (define-key menu-bar-ediff-misc-menu [ediff-cust] '("Customize Ediff" . ediff-customize)) (define-key menu-bar-ediff-misc-menu [ediff-doc] '("Ediff Manual" . ediff-documentation)))))
+(if (featurep 'xemacs) (progn (defun ediff-xemacs-init-menus nil (when (featurep 'menubar) (add-submenu '("Tools") ediff-menu "OO-Browser...") (add-submenu '("Tools") ediff-merge-menu "OO-Browser...") (add-submenu '("Tools") epatch-menu "OO-Browser...") (add-submenu '("Tools") ediff-misc-menu "OO-Browser...") (add-menu-button '("Tools") "-------" "OO-Browser..."))) (defvar ediff-menu '("Compare" ["Two Files..." ediff-files t] ["Two Buffers..." ediff-buffers t] ["Three Files..." ediff-files3 t] ["Three Buffers..." ediff-buffers3 t] "---" ["Two Directories..." ediff-directories t] ["Three Directories..." ediff-directories3 t] "---" ["File with Revision..." ediff-revision t] ["Directory Revisions..." ediff-directory-revisions t] "---" ["Windows Word-by-word..." ediff-windows-wordwise t] ["Windows Line-by-line..." ediff-windows-linewise t] "---" ["Regions Word-by-word..." ediff-regions-wordwise t] ["Regions Line-by-line..." ediff-regions-linewise t])) (defvar ediff-merge-menu '("Merge" ["Files..." ediff-merge-files t] ["Files with Ancestor..." ediff-merge-files-with-ancestor t] ["Buffers..." ediff-merge-buffers t] ["Buffers with Ancestor..." ediff-merge-buffers-with-ancestor t] "---" ["Directories..." ediff-merge-directories t] ["Directories with Ancestor..." ediff-merge-directories-with-ancestor t] "---" ["Revisions..." ediff-merge-revisions t] ["Revisions with Ancestor..." ediff-merge-revisions-with-ancestor t] ["Directory Revisions..." ediff-merge-directory-revisions t] ["Directory Revisions with Ancestor..." ediff-merge-directory-revisions-with-ancestor t])) (defvar epatch-menu '("Apply Patch" ["To a file..." ediff-patch-file t] ["To a buffer..." ediff-patch-buffer t])) (defvar ediff-misc-menu '("Ediff Miscellanea" ["Ediff Manual" ediff-documentation t] ["Customize Ediff" ediff-customize t] ["List Ediff Sessions" ediff-show-registry t] ["Use separate frame for Ediff control buffer" ediff-toggle-multiframe :style toggle :selected (if (and (featurep 'ediff-util) (boundp 'ediff-window-setup-function)) (eq ediff-window-setup-function 'ediff-setup-windows-multiframe))] ["Use a toolbar with Ediff control buffer" ediff-toggle-use-toolbar :style toggle :selected (if (featurep 'ediff-tbar) (ediff-use-toolbar-p))])) (if (and (featurep 'menubar) (not (featurep 'infodock)) (not (featurep 'ediff-hook))) (ediff-xemacs-init-menus))) (defvar menu-bar-ediff-misc-menu (make-sparse-keymap "Ediff Miscellanea")) (fset 'menu-bar-ediff-misc-menu (symbol-value 'menu-bar-ediff-misc-menu)) (defvar menu-bar-epatch-menu (make-sparse-keymap "Apply Patch")) (fset 'menu-bar-epatch-menu (symbol-value 'menu-bar-epatch-menu)) (defvar menu-bar-ediff-merge-menu (make-sparse-keymap "Merge")) (fset 'menu-bar-ediff-merge-menu (symbol-value 'menu-bar-ediff-merge-menu)) (defvar menu-bar-ediff-menu (make-sparse-keymap "Compare")) (fset 'menu-bar-ediff-menu (symbol-value 'menu-bar-ediff-menu)) (define-key menu-bar-ediff-menu [window] '("This Window and Next Window" . compare-windows)) (define-key menu-bar-ediff-menu [ediff-windows-linewise] '("Windows Line-by-line..." . ediff-windows-linewise)) (define-key menu-bar-ediff-menu [ediff-windows-wordwise] '("Windows Word-by-word..." . ediff-windows-wordwise)) (define-key menu-bar-ediff-menu [separator-ediff-windows] '("--")) (define-key menu-bar-ediff-menu [ediff-regions-linewise] '("Regions Line-by-line..." . ediff-regions-linewise)) (define-key menu-bar-ediff-menu [ediff-regions-wordwise] '("Regions Word-by-word..." . ediff-regions-wordwise)) (define-key menu-bar-ediff-menu [separator-ediff-regions] '("--")) (define-key menu-bar-ediff-menu [ediff-dir-revision] '("Directory Revisions..." . ediff-directory-revisions)) (define-key menu-bar-ediff-menu [ediff-revision] '("File with Revision..." . ediff-revision)) (define-key menu-bar-ediff-menu [separator-ediff-directories] '("--")) (define-key menu-bar-ediff-menu [ediff-directories3] '("Three Directories..." . ediff-directories3)) (define-key menu-bar-ediff-menu [ediff-directories] '("Two Directories..." . ediff-directories)) (define-key menu-bar-ediff-menu [separator-ediff-files] '("--")) (define-key menu-bar-ediff-menu [ediff-buffers3] '("Three Buffers..." . ediff-buffers3)) (define-key menu-bar-ediff-menu [ediff-files3] '("Three Files..." . ediff-files3)) (define-key menu-bar-ediff-menu [ediff-buffers] '("Two Buffers..." . ediff-buffers)) (define-key menu-bar-ediff-menu [ediff-files] '("Two Files..." . ediff-files)) (define-key menu-bar-ediff-merge-menu [ediff-merge-dir-revisions-with-ancestor] '("Directory Revisions with Ancestor..." . ediff-merge-directory-revisions-with-ancestor)) (define-key menu-bar-ediff-merge-menu [ediff-merge-dir-revisions] '("Directory Revisions..." . ediff-merge-directory-revisions)) (define-key menu-bar-ediff-merge-menu [ediff-merge-revisions-with-ancestor] '("Revisions with Ancestor..." . ediff-merge-revisions-with-ancestor)) (define-key menu-bar-ediff-merge-menu [ediff-merge-revisions] '("Revisions..." . ediff-merge-revisions)) (define-key menu-bar-ediff-merge-menu [separator-ediff-merge] '("--")) (define-key menu-bar-ediff-merge-menu [ediff-merge-directories-with-ancestor] '("Directories with Ancestor..." . ediff-merge-directories-with-ancestor)) (define-key menu-bar-ediff-merge-menu [ediff-merge-directories] '("Directories..." . ediff-merge-directories)) (define-key menu-bar-ediff-merge-menu [separator-ediff-merge-dirs] '("--")) (define-key menu-bar-ediff-merge-menu [ediff-merge-buffers-with-ancestor] '("Buffers with Ancestor..." . ediff-merge-buffers-with-ancestor)) (define-key menu-bar-ediff-merge-menu [ediff-merge-buffers] '("Buffers..." . ediff-merge-buffers)) (define-key menu-bar-ediff-merge-menu [ediff-merge-files-with-ancestor] '("Files with Ancestor..." . ediff-merge-files-with-ancestor)) (define-key menu-bar-ediff-merge-menu [ediff-merge-files] '("Files..." . ediff-merge-files)) (define-key menu-bar-epatch-menu [ediff-patch-buffer] '("To a Buffer..." . ediff-patch-buffer)) (define-key menu-bar-epatch-menu [ediff-patch-file] '("To a File..." . ediff-patch-file)) (define-key menu-bar-ediff-misc-menu [emultiframe] '("Toggle use of separate control buffer frame" . ediff-toggle-multiframe)) (define-key menu-bar-ediff-misc-menu [eregistry] '("List Ediff Sessions" . ediff-show-registry)) (define-key menu-bar-ediff-misc-menu [ediff-cust] '("Customize Ediff" . ediff-customize)) (define-key menu-bar-ediff-misc-menu [ediff-doc] '("Ediff Manual" . ediff-documentation)))
 
 ;;;***
 \f
 ;;;### (autoloads (ediff-show-registry) "ediff-mult" "ediff-mult.el"
-;;;;;;  (18169 11930))
+;;;;;;  (18421 64851))
 ;;; Generated autoloads from ediff-mult.el
 
 (autoload 'ediff-show-registry "ediff-mult" "\
@@ -8905,7 +8174,7 @@ Display Ediff's registry.
 ;;;***
 \f
 ;;;### (autoloads (ediff-toggle-use-toolbar ediff-toggle-multiframe)
-;;;;;;  "ediff-util" "ediff-util.el" (18214 4759))
+;;;;;;  "ediff-util" "ediff-util.el" (18425 12540))
 ;;; Generated autoloads from ediff-util.el
 
 (autoload 'ediff-toggle-multiframe "ediff-util" "\
@@ -8926,7 +8195,7 @@ To change the default, set the variable `ediff-use-toolbar-p', which see.
 \f
 ;;;### (autoloads (format-kbd-macro read-kbd-macro edit-named-kbd-macro
 ;;;;;;  edit-last-kbd-macro edit-kbd-macro) "edmacro" "edmacro.el"
-;;;;;;  (18133 2196))
+;;;;;;  (18341 12905))
 ;;; Generated autoloads from edmacro.el
 
 (defvar edmacro-eight-bits nil "\
@@ -8979,7 +8248,7 @@ or nil, use a compact 80-column format.
 ;;;***
 \f
 ;;;### (autoloads (edt-emulation-on edt-set-scroll-margins) "edt"
-;;;;;;  "emulation/edt.el" (18212 54459))
+;;;;;;  "emulation/edt.el" (18377 47963))
 ;;; Generated autoloads from emulation/edt.el
 
 (autoload 'edt-set-scroll-margins "edt" "\
@@ -8997,7 +8266,7 @@ Turn on EDT Emulation.
 ;;;***
 \f
 ;;;### (autoloads (electric-helpify with-electric-help) "ehelp" "ehelp.el"
-;;;;;;  (18088 55083))
+;;;;;;  (18335 54512))
 ;;; Generated autoloads from ehelp.el
 
 (autoload 'with-electric-help "ehelp" "\
@@ -9034,7 +8303,7 @@ Not documented
 ;;;***
 \f
 ;;;### (autoloads (turn-on-eldoc-mode eldoc-mode eldoc-minor-mode-string)
-;;;;;;  "eldoc" "emacs-lisp/eldoc.el" (18173 8195))
+;;;;;;  "eldoc" "emacs-lisp/eldoc.el" (18335 54522))
 ;;; Generated autoloads from emacs-lisp/eldoc.el
 
 (defvar eldoc-minor-mode-string " ElDoc" "\
@@ -9072,8 +8341,8 @@ Emacs Lisp mode) that support Eldoc.")
 
 ;;;***
 \f
-;;;### (autoloads (elide-head) "elide-head" "elide-head.el" (18088
-;;;;;;  55083))
+;;;### (autoloads (elide-head) "elide-head" "elide-head.el" (18335
+;;;;;;  54513))
 ;;; Generated autoloads from elide-head.el
 
 (autoload 'elide-head "elide-head" "\
@@ -9089,7 +8358,7 @@ This is suitable as an entry on `find-file-hook' or appropriate mode hooks.
 ;;;***
 \f
 ;;;### (autoloads (elint-initialize) "elint" "emacs-lisp/elint.el"
-;;;;;;  (18173 8195))
+;;;;;;  (18335 54522))
 ;;; Generated autoloads from emacs-lisp/elint.el
 
 (autoload 'elint-initialize "elint" "\
@@ -9100,8 +8369,8 @@ Initialize elint.
 ;;;***
 \f
 ;;;### (autoloads (elp-results elp-instrument-package elp-instrument-list
-;;;;;;  elp-instrument-function) "elp" "emacs-lisp/elp.el" (18173
-;;;;;;  8195))
+;;;;;;  elp-instrument-function) "elp" "emacs-lisp/elp.el" (18335
+;;;;;;  54522))
 ;;; Generated autoloads from emacs-lisp/elp.el
 
 (autoload 'elp-instrument-function "elp" "\
@@ -9127,7 +8396,7 @@ For example, to instrument all ELP functions, do the following:
 (autoload 'elp-results "elp" "\
 Display current profiling results.
 If `elp-reset-after-results' is non-nil, then current profiling
-information for all instrumented functions are reset after results are
+information for all instrumented functions is reset after results are
 displayed.
 
 \(fn)" t nil)
@@ -9135,7 +8404,7 @@ displayed.
 ;;;***
 \f
 ;;;### (autoloads (report-emacs-bug) "emacsbug" "mail/emacsbug.el"
-;;;;;;  (18173 8195))
+;;;;;;  (18374 12123))
 ;;; Generated autoloads from mail/emacsbug.el
 
 (autoload 'report-emacs-bug "emacsbug" "\
@@ -9150,145 +8419,736 @@ Prompts for bug subject.  Leaves you in a mail buffer.
 ;;;;;;  emerge-revisions emerge-files-with-ancestor-remote emerge-files-remote
 ;;;;;;  emerge-files-with-ancestor-command emerge-files-command emerge-buffers-with-ancestor
 ;;;;;;  emerge-buffers emerge-files-with-ancestor emerge-files) "emerge"
-;;;;;;  "emerge.el" (18200 51264))
+;;;;;;  "emerge.el" (18203 29181))
 ;;; Generated autoloads from emerge.el
 
-(defvar menu-bar-emerge-menu (make-sparse-keymap "Emerge"))
- (fset 'menu-bar-emerge-menu (symbol-value 'menu-bar-emerge-menu))
- (define-key menu-bar-emerge-menu [emerge-merge-directories]
-  '("Merge Directories..." . emerge-merge-directories))
- (define-key menu-bar-emerge-menu [emerge-revisions-with-ancestor]
-  '("Revisions with Ancestor..." . emerge-revisions-with-ancestor))
- (define-key menu-bar-emerge-menu [emerge-revisions]
-  '("Revisions..." . emerge-revisions))
- (define-key menu-bar-emerge-menu [emerge-files-with-ancestor]
-  '("Files with Ancestor..." . emerge-files-with-ancestor))
- (define-key menu-bar-emerge-menu [emerge-files]
-  '("Files..." . emerge-files))
- (define-key menu-bar-emerge-menu [emerge-buffers-with-ancestor]
-  '("Buffers with Ancestor..." . emerge-buffers-with-ancestor))
- (define-key menu-bar-emerge-menu [emerge-buffers]
-  '("Buffers..." . emerge-buffers))
+(defvar menu-bar-emerge-menu (make-sparse-keymap "Emerge"))
+ (fset 'menu-bar-emerge-menu (symbol-value 'menu-bar-emerge-menu))
+ (define-key menu-bar-emerge-menu [emerge-merge-directories]
+  '("Merge Directories..." . emerge-merge-directories))
+ (define-key menu-bar-emerge-menu [emerge-revisions-with-ancestor]
+  '("Revisions with Ancestor..." . emerge-revisions-with-ancestor))
+ (define-key menu-bar-emerge-menu [emerge-revisions]
+  '("Revisions..." . emerge-revisions))
+ (define-key menu-bar-emerge-menu [emerge-files-with-ancestor]
+  '("Files with Ancestor..." . emerge-files-with-ancestor))
+ (define-key menu-bar-emerge-menu [emerge-files]
+  '("Files..." . emerge-files))
+ (define-key menu-bar-emerge-menu [emerge-buffers-with-ancestor]
+  '("Buffers with Ancestor..." . emerge-buffers-with-ancestor))
+ (define-key menu-bar-emerge-menu [emerge-buffers]
+  '("Buffers..." . emerge-buffers))
+
+(autoload 'emerge-files "emerge" "\
+Run Emerge on two files.
+
+\(fn ARG FILE-A FILE-B FILE-OUT &optional STARTUP-HOOKS QUIT-HOOKS)" t nil)
+
+(autoload 'emerge-files-with-ancestor "emerge" "\
+Run Emerge on two files, giving another file as the ancestor.
+
+\(fn ARG FILE-A FILE-B FILE-ANCESTOR FILE-OUT &optional STARTUP-HOOKS QUIT-HOOKS)" t nil)
+
+(autoload 'emerge-buffers "emerge" "\
+Run Emerge on two buffers.
+
+\(fn BUFFER-A BUFFER-B &optional STARTUP-HOOKS QUIT-HOOKS)" t nil)
+
+(autoload 'emerge-buffers-with-ancestor "emerge" "\
+Run Emerge on two buffers, giving another buffer as the ancestor.
+
+\(fn BUFFER-A BUFFER-B BUFFER-ANCESTOR &optional STARTUP-HOOKS QUIT-HOOKS)" t nil)
+
+(autoload 'emerge-files-command "emerge" "\
+Not documented
+
+\(fn)" nil nil)
+
+(autoload 'emerge-files-with-ancestor-command "emerge" "\
+Not documented
+
+\(fn)" nil nil)
+
+(autoload 'emerge-files-remote "emerge" "\
+Not documented
+
+\(fn FILE-A FILE-B FILE-OUT)" nil nil)
+
+(autoload 'emerge-files-with-ancestor-remote "emerge" "\
+Not documented
+
+\(fn FILE-A FILE-B FILE-ANC FILE-OUT)" nil nil)
+
+(autoload 'emerge-revisions "emerge" "\
+Emerge two RCS revisions of a file.
+
+\(fn ARG FILE REVISION-A REVISION-B &optional STARTUP-HOOKS QUIT-HOOKS)" t nil)
+
+(autoload 'emerge-revisions-with-ancestor "emerge" "\
+Emerge two RCS revisions of a file, with another revision as ancestor.
+
+\(fn ARG FILE REVISION-A REVISION-B ANCESTOR &optional STARTUP-HOOKS QUIT-HOOKS)" t nil)
+
+(autoload 'emerge-merge-directories "emerge" "\
+Not documented
+
+\(fn A-DIR B-DIR ANCESTOR-DIR OUTPUT-DIR)" t nil)
+
+;;;***
+\f
+;;;### (autoloads (encoded-kbd-setup-display) "encoded-kb" "international/encoded-kb.el"
+;;;;;;  (18341 12910))
+;;; Generated autoloads from international/encoded-kb.el
+
+(autoload 'encoded-kbd-setup-display "encoded-kb" "\
+Set up a `input-decode-map' for `keyboard-coding-system' on DISPLAY.
+
+DISPLAY may be a display id, a frame, or nil for the selected frame's display.
+
+\(fn DISPLAY)" nil nil)
+
+;;;***
+\f
+;;;### (autoloads (enriched-decode enriched-encode enriched-mode)
+;;;;;;  "enriched" "textmodes/enriched.el" (18335 54544))
+;;; Generated autoloads from textmodes/enriched.el
+
+(autoload 'enriched-mode "enriched" "\
+Minor mode for editing text/enriched files.
+These are files with embedded formatting information in the MIME standard
+text/enriched format.
+Turning the mode on or off runs `enriched-mode-hook'.
+
+More information about Enriched mode is available in the file
+etc/enriched.doc in the Emacs distribution directory.
+
+Commands:
+
+\\{enriched-mode-map}
+
+\(fn &optional ARG)" t nil)
+
+(autoload 'enriched-encode "enriched" "\
+Not documented
+
+\(fn FROM TO ORIG-BUF)" nil nil)
+
+(autoload 'enriched-decode "enriched" "\
+Not documented
+
+\(fn FROM TO)" nil nil)
+
+;;;***
+\f
+;;;### (autoloads (epa-mode epa-insert-keys epa-export-keys epa-import-armor-in-region
+;;;;;;  epa-import-keys-region epa-import-keys epa-delete-keys epa-encrypt-region
+;;;;;;  epa-sign-region epa-verify-cleartext-in-region epa-verify-region
+;;;;;;  epa-decrypt-armor-in-region epa-decrypt-region epa-encrypt-file
+;;;;;;  epa-sign-file epa-verify-file epa-decrypt-file epa-select-keys
+;;;;;;  epa-list-secret-keys epa-list-keys) "epa" "epa.el" (18417
+;;;;;;  58271))
+;;; Generated autoloads from epa.el
+
+(autoload 'epa-list-keys "epa" "\
+List all keys matched with NAME from the public keyring.
+
+\(fn &optional NAME)" t nil)
+
+(autoload 'epa-list-secret-keys "epa" "\
+List all keys matched with NAME from the private keyring.
+
+\(fn &optional NAME)" t nil)
+
+(autoload 'epa-select-keys "epa" "\
+Display a user's keyring and ask him to select keys.
+CONTEXT is an epg-context.
+PROMPT is a string to prompt with.
+NAMES is a list of strings to be matched with keys.  If it is nil, all
+the keys are listed.
+If SECRET is non-nil, list secret keys instead of public keys.
+
+\(fn CONTEXT PROMPT &optional NAMES SECRET)" nil nil)
+
+(autoload 'epa-decrypt-file "epa" "\
+Decrypt FILE.
+
+\(fn FILE)" t nil)
+
+(autoload 'epa-verify-file "epa" "\
+Verify FILE.
+
+\(fn FILE)" t nil)
+
+(autoload 'epa-sign-file "epa" "\
+Sign FILE by SIGNERS keys selected.
+
+\(fn FILE SIGNERS MODE)" t nil)
+
+(autoload 'epa-encrypt-file "epa" "\
+Encrypt FILE for RECIPIENTS.
+
+\(fn FILE RECIPIENTS)" t nil)
+
+(autoload 'epa-decrypt-region "epa" "\
+Decrypt the current region between START and END.
+
+Don't use this command in Lisp programs!
+Since this function operates on regions, it does some tricks such
+as coding-system detection and unibyte/multibyte conversion.  If
+you are sure how the data in the region should be treated, you
+should consider using the string based counterpart
+`epg-decrypt-string', or the file based counterpart
+`epg-decrypt-file' instead.
+
+For example:
+
+\(let ((context (epg-make-context 'OpenPGP)))
+  (decode-coding-string
+    (epg-decrypt-string context (buffer-substring start end))
+    'utf-8))
+
+\(fn START END)" t nil)
+
+(autoload 'epa-decrypt-armor-in-region "epa" "\
+Decrypt OpenPGP armors in the current region between START and END.
+
+Don't use this command in Lisp programs!
+See the reason described in the `epa-decrypt-region' documentation.
+
+\(fn START END)" t nil)
+
+(autoload 'epa-verify-region "epa" "\
+Verify the current region between START and END.
+
+Don't use this command in Lisp programs!
+Since this function operates on regions, it does some tricks such
+as coding-system detection and unibyte/multibyte conversion.  If
+you are sure how the data in the region should be treated, you
+should consider using the string based counterpart
+`epg-verify-string', or the file based counterpart
+`epg-verify-file' instead.
+
+For example:
+
+\(let ((context (epg-make-context 'OpenPGP)))
+  (decode-coding-string
+    (epg-verify-string context (buffer-substring start end))
+    'utf-8))
+
+\(fn START END)" t nil)
+
+(autoload 'epa-verify-cleartext-in-region "epa" "\
+Verify OpenPGP cleartext signed messages in the current region
+between START and END.
+
+Don't use this command in Lisp programs!
+See the reason described in the `epa-verify-region' documentation.
+
+\(fn START END)" t nil)
+
+(autoload 'epa-sign-region "epa" "\
+Sign the current region between START and END by SIGNERS keys selected.
+
+Don't use this command in Lisp programs!
+Since this function operates on regions, it does some tricks such
+as coding-system detection and unibyte/multibyte conversion.  If
+you are sure how the data should be treated, you should consider
+using the string based counterpart `epg-sign-string', or the file
+based counterpart `epg-sign-file' instead.
+
+For example:
+
+\(let ((context (epg-make-context 'OpenPGP)))
+  (epg-sign-string
+    context
+    (encode-coding-string (buffer-substring start end) 'utf-8)))
+
+\(fn START END SIGNERS MODE)" t nil)
+
+(autoload 'epa-encrypt-region "epa" "\
+Encrypt the current region between START and END for RECIPIENTS.
+
+Don't use this command in Lisp programs!
+Since this function operates on regions, it does some tricks such
+as coding-system detection and unibyte/multibyte conversion.  If
+you are sure how the data should be treated, you should consider
+using the string based counterpart `epg-encrypt-string', or the
+file based counterpart `epg-encrypt-file' instead.
+
+For example:
+
+\(let ((context (epg-make-context 'OpenPGP)))
+  (epg-encrypt-string
+    context
+    (encode-coding-string (buffer-substring start end) 'utf-8)
+    nil))
+
+\(fn START END RECIPIENTS SIGN SIGNERS)" t nil)
+
+(autoload 'epa-delete-keys "epa" "\
+Delete selected KEYS.
+
+\(fn KEYS &optional ALLOW-SECRET)" t nil)
+
+(autoload 'epa-import-keys "epa" "\
+Import keys from FILE.
+
+\(fn FILE)" t nil)
+
+(autoload 'epa-import-keys-region "epa" "\
+Import keys from the region.
+
+\(fn START END)" t nil)
+
+(autoload 'epa-import-armor-in-region "epa" "\
+Import keys in the OpenPGP armor format in the current region
+between START and END.
+
+\(fn START END)" t nil)
+
+(autoload 'epa-export-keys "epa" "\
+Export selected KEYS to FILE.
+
+\(fn KEYS FILE)" t nil)
+
+(autoload 'epa-insert-keys "epa" "\
+Insert selected KEYS after the point.
+
+\(fn KEYS)" t nil)
+
+(defvar epa-mode nil "\
+Non-nil if Epa mode is enabled.
+See the command `epa-mode' for a description of this minor mode.
+Setting this variable directly does not take effect;
+either customize it (see the info node `Easy Customization')
+or call the function `epa-mode'.")
+
+(custom-autoload 'epa-mode "epa" nil)
+
+(autoload 'epa-mode "epa" "\
+Minor mode to hook EasyPG into various modes.
+See `epa-global-minor-modes'.
+
+\(fn &optional ARG)" t nil)
+
+;;;***
+\f
+;;;### (autoloads (epa-global-dired-mode epa-dired-mode) "epa-dired"
+;;;;;;  "epa-dired.el" (18353 32097))
+;;; Generated autoloads from epa-dired.el
+
+(autoload 'epa-dired-mode "epa-dired" "\
+A minor-mode for encrypt/decrypt files with Dired.
+
+\(fn &optional ARG)" t nil)
+
+(defvar epa-global-dired-mode nil "\
+Non-nil if Epa-Global-Dired mode is enabled.
+See the command `epa-global-dired-mode' for a description of this minor mode.
+Setting this variable directly does not take effect;
+either customize it (see the info node `Easy Customization')
+or call the function `epa-global-dired-mode'.")
+
+(custom-autoload 'epa-global-dired-mode "epa-dired" nil)
+
+(autoload 'epa-global-dired-mode "epa-dired" "\
+Minor mode to hook EasyPG into Dired.
+
+\(fn &optional ARG)" t nil)
+
+;;;***
+\f
+;;;### (autoloads (epa-file-mode epa-file-disable epa-file-enable)
+;;;;;;  "epa-file" "epa-file.el" (18396 61650))
+;;; Generated autoloads from epa-file.el
+
+(put 'epa-file-encrypt-to 'safe-local-variable (lambda (val) (or (stringp val) (and (listp val) (catch 'safe (mapc (lambda (elt) (unless (stringp elt) (throw 'safe nil))) val) t)))))
+
+(put 'epa-file-encrypt-to 'permanent-local t)
+
+(autoload 'epa-file-enable "epa-file" "\
+Not documented
+
+\(fn)" t nil)
+
+(autoload 'epa-file-disable "epa-file" "\
+Not documented
+
+\(fn)" t nil)
+
+(defvar epa-file-mode nil "\
+Non-nil if Epa-File mode is enabled.
+See the command `epa-file-mode' for a description of this minor mode.
+Setting this variable directly does not take effect;
+either customize it (see the info node `Easy Customization')
+or call the function `epa-file-mode'.")
+
+(custom-autoload 'epa-file-mode "epa-file" nil)
+
+(autoload 'epa-file-mode "epa-file" "\
+Toggle automatic file encryption and decryption.
+With prefix argument ARG, turn auto encryption on if positive, else off.
+Return the new status of auto encryption (non-nil means on).
+
+\(fn &optional ARG)" t nil)
+
+;;;***
+\f
+;;;### (autoloads (epa-global-mail-mode epa-mail-import-keys epa-mail-encrypt
+;;;;;;  epa-mail-sign epa-mail-verify epa-mail-decrypt epa-mail-mode)
+;;;;;;  "epa-mail" "epa-mail.el" (18351 56654))
+;;; Generated autoloads from epa-mail.el
+
+(autoload 'epa-mail-mode "epa-mail" "\
+A minor-mode for composing encrypted/clearsigned mails.
+
+\(fn &optional ARG)" t nil)
+
+(autoload 'epa-mail-decrypt "epa-mail" "\
+Decrypt OpenPGP armors in the current buffer.
+The buffer is expected to contain a mail message.
+
+Don't use this command in Lisp programs!
+
+\(fn)" t nil)
+
+(autoload 'epa-mail-verify "epa-mail" "\
+Verify OpenPGP cleartext signed messages in the current buffer.
+The buffer is expected to contain a mail message.
+
+Don't use this command in Lisp programs!
+
+\(fn)" t nil)
+
+(autoload 'epa-mail-sign "epa-mail" "\
+Sign the current buffer.
+The buffer is expected to contain a mail message.
+
+Don't use this command in Lisp programs!
+
+\(fn START END SIGNERS MODE)" t nil)
+
+(autoload 'epa-mail-encrypt "epa-mail" "\
+Encrypt the current buffer.
+The buffer is expected to contain a mail message.
+
+Don't use this command in Lisp programs!
+
+\(fn START END RECIPIENTS SIGN SIGNERS)" t nil)
+
+(autoload 'epa-mail-import-keys "epa-mail" "\
+Import keys in the OpenPGP armor format in the current buffer.
+The buffer is expected to contain a mail message.
+
+Don't use this command in Lisp programs!
+
+\(fn)" t nil)
+
+(defvar epa-global-mail-mode nil "\
+Non-nil if Epa-Global-Mail mode is enabled.
+See the command `epa-global-mail-mode' for a description of this minor mode.
+Setting this variable directly does not take effect;
+either customize it (see the info node `Easy Customization')
+or call the function `epa-global-mail-mode'.")
+
+(custom-autoload 'epa-global-mail-mode "epa-mail" nil)
+
+(autoload 'epa-global-mail-mode "epa-mail" "\
+Minor mode to hook EasyPG into Mail mode.
+
+\(fn &optional ARG)" t nil)
+
+;;;***
+\f
+;;;### (autoloads (epg-generate-key-from-string epg-generate-key-from-file
+;;;;;;  epg-start-generate-key epg-sign-keys epg-start-sign-keys
+;;;;;;  epg-delete-keys epg-start-delete-keys epg-receive-keys epg-start-receive-keys
+;;;;;;  epg-import-keys-from-string epg-import-keys-from-file epg-start-import-keys
+;;;;;;  epg-export-keys-to-string epg-export-keys-to-file epg-start-export-keys
+;;;;;;  epg-encrypt-string epg-encrypt-file epg-start-encrypt epg-sign-string
+;;;;;;  epg-sign-file epg-start-sign epg-verify-string epg-verify-file
+;;;;;;  epg-start-verify epg-decrypt-string epg-decrypt-file epg-start-decrypt
+;;;;;;  epg-cancel epg-list-keys) "epg" "epg.el" (18417 58271))
+;;; Generated autoloads from epg.el
+
+(autoload 'epg-list-keys "epg" "\
+Return a list of epg-key objects matched with NAME.
+If MODE is nil or 'public, only public keyring should be searched.
+If MODE is t or 'secret, only secret keyring should be searched.
+Otherwise, only public keyring should be searched and the key
+signatures should be included.
+NAME is either a string or a list of strings.
+
+\(fn CONTEXT &optional NAME MODE)" nil nil)
+
+(autoload 'epg-cancel "epg" "\
+Not documented
+
+\(fn CONTEXT)" nil nil)
+
+(autoload 'epg-start-decrypt "epg" "\
+Initiate a decrypt operation on CIPHER.
+CIPHER must be a file data object.
+
+If you use this function, you will need to wait for the completion of
+`epg-gpg-program' by using `epg-wait-for-completion' and call
+`epg-reset' to clear a temporaly output file.
+If you are unsure, use synchronous version of this function
+`epg-decrypt-file' or `epg-decrypt-string' instead.
+
+\(fn CONTEXT CIPHER)" nil nil)
+
+(autoload 'epg-decrypt-file "epg" "\
+Decrypt a file CIPHER and store the result to a file PLAIN.
+If PLAIN is nil, it returns the result as a string.
+
+\(fn CONTEXT CIPHER PLAIN)" nil nil)
+
+(autoload 'epg-decrypt-string "epg" "\
+Decrypt a string CIPHER and return the plain text.
+
+\(fn CONTEXT CIPHER)" nil nil)
+
+(autoload 'epg-start-verify "epg" "\
+Initiate a verify operation on SIGNATURE.
+SIGNATURE and SIGNED-TEXT are a data object if they are specified.
+
+For a detached signature, both SIGNATURE and SIGNED-TEXT should be set.
+For a normal or a cleartext signature, SIGNED-TEXT should be nil.
+
+If you use this function, you will need to wait for the completion of
+`epg-gpg-program' by using `epg-wait-for-completion' and call
+`epg-reset' to clear a temporaly output file.
+If you are unsure, use synchronous version of this function
+`epg-verify-file' or `epg-verify-string' instead.
+
+\(fn CONTEXT SIGNATURE &optional SIGNED-TEXT)" nil nil)
+
+(autoload 'epg-verify-file "epg" "\
+Verify a file SIGNATURE.
+SIGNED-TEXT and PLAIN are also a file if they are specified.
+
+For a detached signature, both SIGNATURE and SIGNED-TEXT should be
+string.  For a normal or a cleartext signature, SIGNED-TEXT should be
+nil.  In the latter case, if PLAIN is specified, the plaintext is
+stored into the file after successful verification.
+
+\(fn CONTEXT SIGNATURE &optional SIGNED-TEXT PLAIN)" nil nil)
+
+(autoload 'epg-verify-string "epg" "\
+Verify a string SIGNATURE.
+SIGNED-TEXT is a string if it is specified.
+
+For a detached signature, both SIGNATURE and SIGNED-TEXT should be
+string.  For a normal or a cleartext signature, SIGNED-TEXT should be
+nil.  In the latter case, this function returns the plaintext after
+successful verification.
+
+\(fn CONTEXT SIGNATURE &optional SIGNED-TEXT)" nil nil)
+
+(autoload 'epg-start-sign "epg" "\
+Initiate a sign operation on PLAIN.
+PLAIN is a data object.
+
+If optional 3rd argument MODE is t or 'detached, it makes a detached signature.
+If it is nil or 'normal, it makes a normal signature.
+Otherwise, it makes a cleartext signature.
+
+If you use this function, you will need to wait for the completion of
+`epg-gpg-program' by using `epg-wait-for-completion' and call
+`epg-reset' to clear a temporaly output file.
+If you are unsure, use synchronous version of this function
+`epg-sign-file' or `epg-sign-string' instead.
+
+\(fn CONTEXT PLAIN &optional MODE)" nil nil)
+
+(autoload 'epg-sign-file "epg" "\
+Sign a file PLAIN and store the result to a file SIGNATURE.
+If SIGNATURE is nil, it returns the result as a string.
+If optional 3rd argument MODE is t or 'detached, it makes a detached signature.
+If it is nil or 'normal, it makes a normal signature.
+Otherwise, it makes a cleartext signature.
+
+\(fn CONTEXT PLAIN SIGNATURE &optional MODE)" nil nil)
+
+(autoload 'epg-sign-string "epg" "\
+Sign a string PLAIN and return the output as string.
+If optional 3rd argument MODE is t or 'detached, it makes a detached signature.
+If it is nil or 'normal, it makes a normal signature.
+Otherwise, it makes a cleartext signature.
+
+\(fn CONTEXT PLAIN &optional MODE)" nil nil)
+
+(autoload 'epg-start-encrypt "epg" "\
+Initiate an encrypt operation on PLAIN.
+PLAIN is a data object.
+If RECIPIENTS is nil, it performs symmetric encryption.
+
+If you use this function, you will need to wait for the completion of
+`epg-gpg-program' by using `epg-wait-for-completion' and call
+`epg-reset' to clear a temporaly output file.
+If you are unsure, use synchronous version of this function
+`epg-encrypt-file' or `epg-encrypt-string' instead.
+
+\(fn CONTEXT PLAIN RECIPIENTS &optional SIGN ALWAYS-TRUST)" nil nil)
+
+(autoload 'epg-encrypt-file "epg" "\
+Encrypt a file PLAIN and store the result to a file CIPHER.
+If CIPHER is nil, it returns the result as a string.
+If RECIPIENTS is nil, it performs symmetric encryption.
+
+\(fn CONTEXT PLAIN RECIPIENTS CIPHER &optional SIGN ALWAYS-TRUST)" nil nil)
+
+(autoload 'epg-encrypt-string "epg" "\
+Encrypt a string PLAIN.
+If RECIPIENTS is nil, it performs symmetric encryption.
 
-(autoload 'emerge-files "emerge" "\
-Run Emerge on two files.
+\(fn CONTEXT PLAIN RECIPIENTS &optional SIGN ALWAYS-TRUST)" nil nil)
 
-\(fn ARG FILE-A FILE-B FILE-OUT &optional STARTUP-HOOKS QUIT-HOOKS)" t nil)
+(autoload 'epg-start-export-keys "epg" "\
+Initiate an export keys operation.
 
-(autoload 'emerge-files-with-ancestor "emerge" "\
-Run Emerge on two files, giving another file as the ancestor.
+If you use this function, you will need to wait for the completion of
+`epg-gpg-program' by using `epg-wait-for-completion' and call
+`epg-reset' to clear a temporaly output file.
+If you are unsure, use synchronous version of this function
+`epg-export-keys-to-file' or `epg-export-keys-to-string' instead.
 
-\(fn ARG FILE-A FILE-B FILE-ANCESTOR FILE-OUT &optional STARTUP-HOOKS QUIT-HOOKS)" t nil)
+\(fn CONTEXT KEYS)" nil nil)
 
-(autoload 'emerge-buffers "emerge" "\
-Run Emerge on two buffers.
+(autoload 'epg-export-keys-to-file "epg" "\
+Extract public KEYS.
 
-\(fn BUFFER-A BUFFER-B &optional STARTUP-HOOKS QUIT-HOOKS)" t nil)
+\(fn CONTEXT KEYS FILE)" nil nil)
 
-(autoload 'emerge-buffers-with-ancestor "emerge" "\
-Run Emerge on two buffers, giving another buffer as the ancestor.
+(autoload 'epg-export-keys-to-string "epg" "\
+Extract public KEYS and return them as a string.
 
-\(fn BUFFER-A BUFFER-B BUFFER-ANCESTOR &optional STARTUP-HOOKS QUIT-HOOKS)" t nil)
+\(fn CONTEXT KEYS)" nil nil)
 
-(autoload 'emerge-files-command "emerge" "\
-Not documented
+(autoload 'epg-start-import-keys "epg" "\
+Initiate an import keys operation.
+KEYS is a data object.
 
-\(fn)" nil nil)
+If you use this function, you will need to wait for the completion of
+`epg-gpg-program' by using `epg-wait-for-completion' and call
+`epg-reset' to clear a temporaly output file.
+If you are unsure, use synchronous version of this function
+`epg-import-keys-from-file' or `epg-import-keys-from-string' instead.
 
-(autoload 'emerge-files-with-ancestor-command "emerge" "\
-Not documented
+\(fn CONTEXT KEYS)" nil nil)
 
-\(fn)" nil nil)
+(autoload 'epg-import-keys-from-file "epg" "\
+Add keys from a file KEYS.
 
-(autoload 'emerge-files-remote "emerge" "\
-Not documented
+\(fn CONTEXT KEYS)" nil nil)
 
-\(fn FILE-A FILE-B FILE-OUT)" nil nil)
+(autoload 'epg-import-keys-from-string "epg" "\
+Add keys from a string KEYS.
 
-(autoload 'emerge-files-with-ancestor-remote "emerge" "\
-Not documented
+\(fn CONTEXT KEYS)" nil nil)
 
-\(fn FILE-A FILE-B FILE-ANC FILE-OUT)" nil nil)
+(autoload 'epg-start-receive-keys "epg" "\
+Initiate a receive key operation.
+KEY-ID-LIST is a list of key IDs.
 
-(autoload 'emerge-revisions "emerge" "\
-Emerge two RCS revisions of a file.
+If you use this function, you will need to wait for the completion of
+`epg-gpg-program' by using `epg-wait-for-completion' and call
+`epg-reset' to clear a temporaly output file.
+If you are unsure, use synchronous version of this function
+`epg-receive-keys' instead.
 
-\(fn ARG FILE REVISION-A REVISION-B &optional STARTUP-HOOKS QUIT-HOOKS)" t nil)
+\(fn CONTEXT KEY-ID-LIST)" nil nil)
 
-(autoload 'emerge-revisions-with-ancestor "emerge" "\
-Emerge two RCS revisions of a file, with another revision as ancestor.
+(autoload 'epg-receive-keys "epg" "\
+Add keys from server.
+KEYS is a list of key IDs
 
-\(fn ARG FILE REVISION-A REVISION-B ANCESTOR &optional STARTUP-HOOKS QUIT-HOOKS)" t nil)
+\(fn CONTEXT KEYS)" nil nil)
 
-(autoload 'emerge-merge-directories "emerge" "\
-Not documented
+(defalias 'epg-import-keys-from-server 'epg-receive-keys)
 
-\(fn A-DIR B-DIR ANCESTOR-DIR OUTPUT-DIR)" t nil)
+(autoload 'epg-start-delete-keys "epg" "\
+Initiate a delete keys operation.
 
-;;;***
-\f
-;;;### (autoloads (encoded-kbd-setup-display) "encoded-kb" "international/encoded-kb.el"
-;;;;;;  (18200 51265))
-;;; Generated autoloads from international/encoded-kb.el
+If you use this function, you will need to wait for the completion of
+`epg-gpg-program' by using `epg-wait-for-completion' and call
+`epg-reset' to clear a temporaly output file.
+If you are unsure, use synchronous version of this function
+`epg-delete-keys' instead.
 
-(autoload 'encoded-kbd-setup-display "encoded-kb" "\
-Set up a `input-decode-map' for `keyboard-coding-system' on DISPLAY.
+\(fn CONTEXT KEYS &optional ALLOW-SECRET)" nil nil)
 
-DISPLAY may be a display id, a frame, or nil for the selected frame's display.
+(autoload 'epg-delete-keys "epg" "\
+Delete KEYS from the key ring.
 
-\(fn DISPLAY)" nil nil)
+\(fn CONTEXT KEYS &optional ALLOW-SECRET)" nil nil)
 
-;;;***
-\f
-;;;### (autoloads (encrypt-insert-file-contents encrypt-find-model)
-;;;;;;  "encrypt" "gnus/encrypt.el" (18212 21482))
-;;; Generated autoloads from gnus/encrypt.el
+(autoload 'epg-start-sign-keys "epg" "\
+Initiate a sign keys operation.
 
-(autoload 'encrypt-find-model "encrypt" "\
-Given a filename, find a encrypt-file-alist entry
+If you use this function, you will need to wait for the completion of
+`epg-gpg-program' by using `epg-wait-for-completion' and call
+`epg-reset' to clear a temporaly output file.
+If you are unsure, use synchronous version of this function
+`epg-sign-keys' instead.
 
-\(fn FILENAME)" nil nil)
+\(fn CONTEXT KEYS &optional LOCAL)" nil nil)
 
-(autoload 'encrypt-insert-file-contents "encrypt" "\
-Decrypt FILE into the current buffer.
+(autoload 'epg-sign-keys "epg" "\
+Sign KEYS from the key ring.
 
-\(fn FILE &optional MODEL)" t nil)
+\(fn CONTEXT KEYS &optional LOCAL)" nil nil)
 
-;;;***
-\f
-;;;### (autoloads (enriched-decode enriched-encode enriched-mode)
-;;;;;;  "enriched" "textmodes/enriched.el" (18088 55119))
-;;; Generated autoloads from textmodes/enriched.el
+(autoload 'epg-start-generate-key "epg" "\
+Initiate a key generation.
+PARAMETERS specifies parameters for the key.
 
-(autoload 'enriched-mode "enriched" "\
-Minor mode for editing text/enriched files.
-These are files with embedded formatting information in the MIME standard
-text/enriched format.
-Turning the mode on or off runs `enriched-mode-hook'.
+If you use this function, you will need to wait for the completion of
+`epg-gpg-program' by using `epg-wait-for-completion' and call
+`epg-reset' to clear a temporaly output file.
+If you are unsure, use synchronous version of this function
+`epg-generate-key-from-file' or `epg-generate-key-from-string' instead.
 
-More information about Enriched mode is available in the file
-etc/enriched.doc in the Emacs distribution directory.
+\(fn CONTEXT PARAMETERS)" nil nil)
 
-Commands:
+(autoload 'epg-generate-key-from-file "epg" "\
+Generate a new key pair.
+PARAMETERS is a file which tells how to create the key.
 
-\\{enriched-mode-map}
+\(fn CONTEXT PARAMETERS)" nil nil)
 
-\(fn &optional ARG)" t nil)
+(autoload 'epg-generate-key-from-string "epg" "\
+Generate a new key pair.
+PARAMETERS is a string which tells how to create the key.
 
-(autoload 'enriched-encode "enriched" "\
-Not documented
+\(fn CONTEXT PARAMETERS)" nil nil)
 
-\(fn FROM TO ORIG-BUF)" nil nil)
+;;;***
+\f
+;;;### (autoloads (epg-expand-group epg-check-configuration epg-configuration)
+;;;;;;  "epg-config" "epg-config.el" (18351 56654))
+;;; Generated autoloads from epg-config.el
 
-(autoload 'enriched-decode "enriched" "\
-Not documented
+(autoload 'epg-configuration "epg-config" "\
+Return a list of internal configuration parameters of `epg-gpg-program'.
 
-\(fn FROM TO)" nil nil)
+\(fn)" nil nil)
+
+(autoload 'epg-check-configuration "epg-config" "\
+Verify that a sufficient version of GnuPG is installed.
+
+\(fn CONFIG &optional MINIMUM-VERSION)" nil nil)
+
+(autoload 'epg-expand-group "epg-config" "\
+Look at CONFIG and try to expand GROUP.
+
+\(fn CONFIG GROUP)" nil nil)
 
 ;;;***
 \f
 ;;;### (autoloads (erc-handle-irc-url erc erc-select-read-args) "erc"
-;;;;;;  "erc/erc.el" (18213 13926))
+;;;;;;  "erc/erc.el" (18369 28756))
 ;;; Generated autoloads from erc/erc.el
 
 (autoload 'erc-select-read-args "erc" "\
@@ -9330,34 +9190,35 @@ Otherwise, connect to HOST:PORT as USER and /join CHANNEL.
 
 ;;;***
 \f
-;;;### (autoloads nil "erc-autoaway" "erc/erc-autoaway.el" (18088
-;;;;;;  55099))
+;;;### (autoloads nil "erc-autoaway" "erc/erc-autoaway.el" (18335
+;;;;;;  54524))
 ;;; Generated autoloads from erc/erc-autoaway.el
  (autoload 'erc-autoaway-mode "erc-autoaway")
 
 ;;;***
 \f
-;;;### (autoloads nil "erc-button" "erc/erc-button.el" (18147 59472))
+;;;### (autoloads nil "erc-button" "erc/erc-button.el" (18369 28755))
 ;;; Generated autoloads from erc/erc-button.el
  (autoload 'erc-button-mode "erc-button" nil t)
 
 ;;;***
 \f
-;;;### (autoloads nil "erc-capab" "erc/erc-capab.el" (18088 55099))
+;;;### (autoloads nil "erc-capab" "erc/erc-capab.el" (18335 54524))
 ;;; Generated autoloads from erc/erc-capab.el
  (autoload 'erc-capab-identify-mode "erc-capab" nil t)
 
 ;;;***
 \f
-;;;### (autoloads nil "erc-compat" "erc/erc-compat.el" (18147 59472))
+;;;### (autoloads nil "erc-compat" "erc/erc-compat.el" (18335 54524))
 ;;; Generated autoloads from erc/erc-compat.el
  (autoload 'erc-define-minor-mode "erc-compat")
 
 ;;;***
 \f
 ;;;### (autoloads (erc-ctcp-query-DCC pcomplete/erc-mode/DCC erc-cmd-DCC)
-;;;;;;  "erc-dcc" "erc/erc-dcc.el" (18088 55099))
+;;;;;;  "erc-dcc" "erc/erc-dcc.el" (18413 37485))
 ;;; Generated autoloads from erc/erc-dcc.el
+ (autoload 'erc-dcc-mode "erc-dcc")
 
 (autoload 'erc-cmd-DCC "erc-dcc" "\
 Parser for /dcc command.
@@ -9388,7 +9249,7 @@ that subcommand.
 ;;;;;;  erc-ezb-add-session erc-ezb-end-of-session-list erc-ezb-init-session-list
 ;;;;;;  erc-ezb-identify erc-ezb-notice-autodetect erc-ezb-lookup-action
 ;;;;;;  erc-ezb-get-login erc-cmd-ezb) "erc-ezbounce" "erc/erc-ezbounce.el"
-;;;;;;  (18088 55099))
+;;;;;;  (18335 54524))
 ;;; Generated autoloads from erc/erc-ezbounce.el
 
 (autoload 'erc-cmd-ezb "erc-ezbounce" "\
@@ -9450,8 +9311,8 @@ Add EZBouncer convenience functions to ERC.
 
 ;;;***
 \f
-;;;### (autoloads (erc-fill) "erc-fill" "erc/erc-fill.el" (18088
-;;;;;;  55099))
+;;;### (autoloads (erc-fill) "erc-fill" "erc/erc-fill.el" (18335
+;;;;;;  54524))
 ;;; Generated autoloads from erc/erc-fill.el
  (autoload 'erc-fill-mode "erc-fill" nil t)
 
@@ -9463,15 +9324,15 @@ You can put this on `erc-insert-modify-hook' and/or `erc-send-modify-hook'.
 
 ;;;***
 \f
-;;;### (autoloads nil "erc-hecomplete" "erc/erc-hecomplete.el" (18088
-;;;;;;  55099))
+;;;### (autoloads nil "erc-hecomplete" "erc/erc-hecomplete.el" (18335
+;;;;;;  54524))
 ;;; Generated autoloads from erc/erc-hecomplete.el
  (autoload 'erc-hecomplete-mode "erc-hecomplete" nil t)
 
 ;;;***
 \f
 ;;;### (autoloads (erc-identd-stop erc-identd-start) "erc-identd"
-;;;;;;  "erc/erc-identd.el" (18147 59472))
+;;;;;;  "erc/erc-identd.el" (18335 54524))
 ;;; Generated autoloads from erc/erc-identd.el
  (autoload 'erc-identd-mode "erc-identd")
 
@@ -9493,7 +9354,7 @@ Not documented
 ;;;***
 \f
 ;;;### (autoloads (erc-create-imenu-index) "erc-imenu" "erc/erc-imenu.el"
-;;;;;;  (18088 55099))
+;;;;;;  (18335 54524))
 ;;; Generated autoloads from erc/erc-imenu.el
 
 (autoload 'erc-create-imenu-index "erc-imenu" "\
@@ -9503,14 +9364,20 @@ Not documented
 
 ;;;***
 \f
-;;;### (autoloads nil "erc-join" "erc/erc-join.el" (18088 55099))
+;;;### (autoloads nil "erc-join" "erc/erc-join.el" (18335 54524))
 ;;; Generated autoloads from erc/erc-join.el
  (autoload 'erc-autojoin-mode "erc-join" nil t)
 
+;;;***
+\f
+;;;### (autoloads nil "erc-list" "erc/erc-list.el" (18329 49762))
+;;; Generated autoloads from erc/erc-list.el
+ (autoload 'erc-list-mode "erc-list")
+
 ;;;***
 \f
 ;;;### (autoloads (erc-save-buffer-in-logs erc-logging-enabled) "erc-log"
-;;;;;;  "erc/erc-log.el" (18213 13926))
+;;;;;;  "erc/erc-log.el" (18335 54524))
 ;;; Generated autoloads from erc/erc-log.el
  (autoload 'erc-log-mode "erc-log" nil t)
 
@@ -9542,7 +9409,7 @@ You can save every individual message by putting this function on
 ;;;### (autoloads (erc-delete-dangerous-host erc-add-dangerous-host
 ;;;;;;  erc-delete-keyword erc-add-keyword erc-delete-fool erc-add-fool
 ;;;;;;  erc-delete-pal erc-add-pal) "erc-match" "erc/erc-match.el"
-;;;;;;  (18088 55100))
+;;;;;;  (18369 28756))
 ;;; Generated autoloads from erc/erc-match.el
  (autoload 'erc-match-mode "erc-match")
 
@@ -9588,14 +9455,14 @@ Delete dangerous-host interactively to `erc-dangerous-hosts'.
 
 ;;;***
 \f
-;;;### (autoloads nil "erc-menu" "erc/erc-menu.el" (18088 55100))
+;;;### (autoloads nil "erc-menu" "erc/erc-menu.el" (18335 54524))
 ;;; Generated autoloads from erc/erc-menu.el
  (autoload 'erc-menu-mode "erc-menu" nil t)
 
 ;;;***
 \f
 ;;;### (autoloads (erc-cmd-WHOLEFT) "erc-netsplit" "erc/erc-netsplit.el"
-;;;;;;  (18088 55100))
+;;;;;;  (18335 54524))
 ;;; Generated autoloads from erc/erc-netsplit.el
  (autoload 'erc-netsplit-mode "erc-netsplit")
 
@@ -9607,7 +9474,7 @@ Show who's gone.
 ;;;***
 \f
 ;;;### (autoloads (erc-server-select erc-determine-network) "erc-networks"
-;;;;;;  "erc/erc-networks.el" (18088 55100))
+;;;;;;  "erc/erc-networks.el" (18335 54524))
 ;;; Generated autoloads from erc/erc-networks.el
 
 (autoload 'erc-determine-network "erc-networks" "\
@@ -9625,7 +9492,7 @@ Interactively select a server to connect to using `erc-server-alist'.
 ;;;***
 \f
 ;;;### (autoloads (pcomplete/erc-mode/NOTIFY erc-cmd-NOTIFY) "erc-notify"
-;;;;;;  "erc/erc-notify.el" (18088 55100))
+;;;;;;  "erc/erc-notify.el" (18335 54524))
 ;;; Generated autoloads from erc/erc-notify.el
  (autoload 'erc-notify-mode "erc-notify" nil t)
 
@@ -9643,33 +9510,33 @@ Not documented
 
 ;;;***
 \f
-;;;### (autoloads nil "erc-page" "erc/erc-page.el" (18088 55100))
+;;;### (autoloads nil "erc-page" "erc/erc-page.el" (18335 54524))
 ;;; Generated autoloads from erc/erc-page.el
  (autoload 'erc-page-mode "erc-page")
 
 ;;;***
 \f
-;;;### (autoloads nil "erc-pcomplete" "erc/erc-pcomplete.el" (18088
-;;;;;;  55100))
+;;;### (autoloads nil "erc-pcomplete" "erc/erc-pcomplete.el" (18335
+;;;;;;  54524))
 ;;; Generated autoloads from erc/erc-pcomplete.el
  (autoload 'erc-completion-mode "erc-pcomplete" nil t)
 
 ;;;***
 \f
-;;;### (autoloads nil "erc-replace" "erc/erc-replace.el" (18088 55100))
+;;;### (autoloads nil "erc-replace" "erc/erc-replace.el" (18335 54524))
 ;;; Generated autoloads from erc/erc-replace.el
  (autoload 'erc-replace-mode "erc-replace")
 
 ;;;***
 \f
-;;;### (autoloads nil "erc-ring" "erc/erc-ring.el" (18088 55100))
+;;;### (autoloads nil "erc-ring" "erc/erc-ring.el" (18335 54524))
 ;;; Generated autoloads from erc/erc-ring.el
  (autoload 'erc-ring-mode "erc-ring" nil t)
 
 ;;;***
 \f
 ;;;### (autoloads (erc-nickserv-identify erc-nickserv-identify-mode)
-;;;;;;  "erc-services" "erc/erc-services.el" (18088 55100))
+;;;;;;  "erc-services" "erc/erc-services.el" (18335 54524))
 ;;; Generated autoloads from erc/erc-services.el
  (autoload 'erc-services-mode "erc-services" nil t)
 
@@ -9686,14 +9553,14 @@ When called interactively, read the password using `read-passwd'.
 
 ;;;***
 \f
-;;;### (autoloads nil "erc-sound" "erc/erc-sound.el" (18161 20995))
+;;;### (autoloads nil "erc-sound" "erc/erc-sound.el" (18335 54524))
 ;;; Generated autoloads from erc/erc-sound.el
  (autoload 'erc-sound-mode "erc-sound")
 
 ;;;***
 \f
 ;;;### (autoloads (erc-speedbar-browser) "erc-speedbar" "erc/erc-speedbar.el"
-;;;;;;  (18088 55100))
+;;;;;;  (18335 54524))
 ;;; Generated autoloads from erc/erc-speedbar.el
 
 (autoload 'erc-speedbar-browser "erc-speedbar" "\
@@ -9704,21 +9571,21 @@ This will add a speedbar major display mode.
 
 ;;;***
 \f
-;;;### (autoloads nil "erc-spelling" "erc/erc-spelling.el" (18088
-;;;;;;  55100))
+;;;### (autoloads nil "erc-spelling" "erc/erc-spelling.el" (18335
+;;;;;;  54524))
 ;;; Generated autoloads from erc/erc-spelling.el
  (autoload 'erc-spelling-mode "erc-spelling" nil t)
 
 ;;;***
 \f
-;;;### (autoloads nil "erc-stamp" "erc/erc-stamp.el" (18214 4763))
+;;;### (autoloads nil "erc-stamp" "erc/erc-stamp.el" (18335 54524))
 ;;; Generated autoloads from erc/erc-stamp.el
  (autoload 'erc-timestamp-mode "erc-stamp" nil t)
 
 ;;;***
 \f
 ;;;### (autoloads (erc-track-minor-mode) "erc-track" "erc/erc-track.el"
-;;;;;;  (18213 13926))
+;;;;;;  (18368 43876))
 ;;; Generated autoloads from erc/erc-track.el
 
 (defvar erc-track-minor-mode nil "\
@@ -9741,7 +9608,7 @@ module, otherwise the keybindings will not do anything useful.
 ;;;***
 \f
 ;;;### (autoloads (erc-truncate-buffer erc-truncate-buffer-to-size)
-;;;;;;  "erc-truncate" "erc/erc-truncate.el" (18088 55100))
+;;;;;;  "erc-truncate" "erc/erc-truncate.el" (18335 54524))
 ;;; Generated autoloads from erc/erc-truncate.el
  (autoload 'erc-truncate-mode "erc-truncate" nil t)
 
@@ -9761,8 +9628,9 @@ Meant to be used in hooks, like `erc-insert-post-hook'.
 ;;;***
 \f
 ;;;### (autoloads (erc-xdcc-add-file) "erc-xdcc" "erc/erc-xdcc.el"
-;;;;;;  (18088 55100))
+;;;;;;  (18335 54524))
 ;;; Generated autoloads from erc/erc-xdcc.el
+ (autoload 'erc-xdcc-mode "erc-xdcc")
 
 (autoload 'erc-xdcc-add-file "erc-xdcc" "\
 Add a file to `erc-xdcc-files'.
@@ -9771,8 +9639,8 @@ Add a file to `erc-xdcc-files'.
 
 ;;;***
 \f
-;;;### (autoloads (eshell-mode) "esh-mode" "eshell/esh-mode.el" (18203
-;;;;;;  37788))
+;;;### (autoloads (eshell-mode) "esh-mode" "eshell/esh-mode.el" (18341
+;;;;;;  12908))
 ;;; Generated autoloads from eshell/esh-mode.el
 
 (autoload 'eshell-mode "esh-mode" "\
@@ -9784,8 +9652,8 @@ Emacs shell interactive mode.
 
 ;;;***
 \f
-;;;### (autoloads (eshell-test) "esh-test" "eshell/esh-test.el" (18088
-;;;;;;  55101))
+;;;### (autoloads (eshell-test) "esh-test" "eshell/esh-test.el" (18335
+;;;;;;  54528))
 ;;; Generated autoloads from eshell/esh-test.el
 
 (autoload 'eshell-test "esh-test" "\
@@ -9795,8 +9663,8 @@ Test Eshell to verify that it works as expected.
 
 ;;;***
 \f
-;;;### (autoloads (eshell-report-bug eshell-command-result eshell-command
-;;;;;;  eshell) "eshell" "eshell/eshell.el" (18088 55101))
+;;;### (autoloads (eshell-command-result eshell-command eshell) "eshell"
+;;;;;;  "eshell/eshell.el" (18335 54528))
 ;;; Generated autoloads from eshell/eshell.el
 
 (autoload 'eshell "eshell" "\
@@ -9826,12 +9694,7 @@ corresponding to a successful execution.
 
 \(fn COMMAND &optional STATUS-VAR)" nil nil)
 
-(autoload 'eshell-report-bug "eshell" "\
-Report a bug in Eshell.
-Prompts for the TOPIC.  Leaves you in a mail buffer.
-Please include any configuration details that might be involved.
-
-\(fn TOPIC)" t nil)
+(define-obsolete-function-alias 'eshell-report-bug 'report-emacs-bug "23.1")
 
 ;;;***
 \f
@@ -9842,7 +9705,7 @@ Please include any configuration details that might be involved.
 ;;;;;;  visit-tags-table tags-table-mode find-tag-default-function
 ;;;;;;  find-tag-hook tags-add-tables tags-compression-info-list
 ;;;;;;  tags-table-list tags-case-fold-search) "etags" "progmodes/etags.el"
-;;;;;;  (18201 33327))
+;;;;;;  (18423 20180))
 ;;; Generated autoloads from progmodes/etags.el
 
 (defvar tags-file-name nil "\
@@ -10102,10 +9965,16 @@ Do `query-replace-regexp' of FROM with TO on all files listed in tags table.
 Third arg DELIMITED (prefix arg) means replace only word-delimited matches.
 If you exit (\\[keyboard-quit], RET or q), you can resume the query replace
 with the command \\[tags-loop-continue].
+Fourth arg FILE-LIST-FORM non-nil means initialize the replacement loop.
+Fifth and sixth arguments START and END are accepted, for compatibility
+with `query-replace-regexp', and ignored.
 
-See documentation of variable `tags-file-name'.
+If FILE-LIST-FORM is non-nil, it is a form to evaluate to
+produce the list of files to search.
+
+See also the documentation of the variable `tags-file-name'.
 
-\(fn FROM TO &optional DELIMITED FILE-LIST-FORM START END)" t nil)
+\(fn FROM TO &optional DELIMITED FILE-LIST-FORM)" t nil)
 
 (autoload 'list-tags "etags" "\
 Display list of tags in file FILE.
@@ -10137,14 +10006,14 @@ for \\[find-tag] (which see).
 
 ;;;***
 \f
-;;;### (autoloads (ethio-write-file ethio-find-file ethio-java-to-fidel-buffer
+;;;### (autoloads (ethio-composition-function ethio-insert-ethio-space
+;;;;;;  ethio-write-file ethio-find-file ethio-java-to-fidel-buffer
 ;;;;;;  ethio-fidel-to-java-buffer ethio-tex-to-fidel-buffer ethio-fidel-to-tex-buffer
 ;;;;;;  ethio-input-special-character ethio-replace-space ethio-modify-vowel
-;;;;;;  ethio-fidel-to-sera-marker ethio-fidel-to-sera-mail ethio-fidel-to-sera-mail-or-marker
-;;;;;;  ethio-fidel-to-sera-buffer ethio-fidel-to-sera-region ethio-sera-to-fidel-marker
-;;;;;;  ethio-sera-to-fidel-mail ethio-sera-to-fidel-mail-or-marker
-;;;;;;  ethio-sera-to-fidel-buffer ethio-sera-to-fidel-region setup-ethiopic-environment-internal)
-;;;;;;  "ethio-util" "language/ethio-util.el" (18173 8195))
+;;;;;;  ethio-fidel-to-sera-marker ethio-fidel-to-sera-region ethio-fidel-to-sera-buffer
+;;;;;;  ethio-sera-to-fidel-marker ethio-sera-to-fidel-region ethio-sera-to-fidel-buffer
+;;;;;;  setup-ethiopic-environment-internal) "ethio-util" "language/ethio-util.el"
+;;;;;;  (18341 12912))
 ;;; Generated autoloads from language/ethio-util.el
 
 (autoload 'setup-ethiopic-environment-internal "ethio-util" "\
@@ -10152,59 +10021,41 @@ Not documented
 
 \(fn)" nil nil)
 
-(autoload 'ethio-sera-to-fidel-region "ethio-util" "\
-Convert the characters in region from SERA to FIDEL.
-The variable `ethio-primary-language' specifies the primary language
-and `ethio-secondary-language' specifies the secondary.
-
-If the 3rd parameter SECONDARY is given and non-nil, assume the region
-begins with the secondary language; otherwise with the primary
-language.
-
-If the 4th parameter FORCE is given and non-nil, perform conversion
-even if the buffer is read-only.
-
-See also the descriptions of the variables
-`ethio-use-colon-for-colon' and
-`ethio-use-three-dot-question'.
-
-\(fn BEG END &optional SECONDARY FORCE)" t nil)
-
 (autoload 'ethio-sera-to-fidel-buffer "ethio-util" "\
 Convert the current buffer from SERA to FIDEL.
 
 The variable `ethio-primary-language' specifies the primary
 language and `ethio-secondary-language' specifies the secondary.
 
-If the 1st optional parameter SECONDARY is non-nil, assume the buffer
-begins with the secondary language; otherwise with the primary
-language.
+If the 1st optional argument SECONDARY is non-nil, assume the
+buffer begins with the secondary language; otherwise with the
+primary language.
 
-If the 2nd optional parametr FORCE is non-nil, perform conversion even if the
-buffer is read-only.
+If the 2nd optional argument FORCE is non-nil, perform conversion
+even if the buffer is read-only.
 
 See also the descriptions of the variables
-`ethio-use-colon-for-colon' and
-`ethio-use-three-dot-question'.
+`ethio-use-colon-for-colon' and `ethio-use-three-dot-question'.
 
 \(fn &optional SECONDARY FORCE)" t nil)
 
-(autoload 'ethio-sera-to-fidel-mail-or-marker "ethio-util" "\
-Execute `ethio-sera-to-fidel-mail' or `ethio-sera-to-fidel-marker' depending on the current major mode.
-If in rmail-mode or in mail-mode, execute the former; otherwise latter.
+(autoload 'ethio-sera-to-fidel-region "ethio-util" "\
+Convert the characters in region from SERA to FIDEL.
 
-\(fn &optional ARG)" t nil)
+The variable `ethio-primary-language' specifies the primary
+language and `ethio-secondary-language' specifies the secondary.
 
-(autoload 'ethio-sera-to-fidel-mail "ethio-util" "\
-Convert SERA to FIDEL to read/write mail and news.
+If the 3rd argument SECONDARY is given and non-nil, assume the
+region begins with the secondary language; otherwise with the
+primary language.
 
-If the buffer contains the markers \"<sera>\" and \"</sera>\",
-convert the segments between them into FIDEL.
+If the 4th argument FORCE is given and non-nil, perform
+conversion even if the buffer is read-only.
 
-If invoked interactively and there is no marker, convert the subject field
-and the body into FIDEL using `ethio-sera-to-fidel-region'.
+See also the descriptions of the variables
+`ethio-use-colon-for-colon' and `ethio-use-three-dot-question'.
 
-\(fn &optional ARG)" t nil)
+\(fn BEGIN END &optional SECONDARY FORCE)" t nil)
 
 (autoload 'ethio-sera-to-fidel-marker "ethio-util" "\
 Convert the regions surrounded by \"<sera>\" and \"</sera>\" from SERA to FIDEL.
@@ -10213,59 +10064,42 @@ The markers \"<sera>\" and \"</sera>\" themselves are not deleted.
 
 \(fn &optional FORCE)" t nil)
 
-(autoload 'ethio-fidel-to-sera-region "ethio-util" "\
-Replace all the FIDEL characters in the region to the SERA format.
+(autoload 'ethio-fidel-to-sera-buffer "ethio-util" "\
+Replace all the FIDEL characters in the current buffer to the SERA format.
 The variable `ethio-primary-language' specifies the primary
 language and `ethio-secondary-language' specifies the secondary.
 
-If the 3dr parameter SECONDARY is given and non-nil, try to convert
-the region so that it begins in the secondary language; otherwise with
-the primary language.
+If the 1st optional argument SECONDARY is non-nil, try to convert the
+region so that it begins with the secondary language; otherwise with the
+primary language.
 
-If the 4th parameter FORCE is given and non-nil, convert even if the
+If the 2nd optional argument FORCE is non-nil, convert even if the
 buffer is read-only.
 
 See also the descriptions of the variables
 `ethio-use-colon-for-colon', `ethio-use-three-dot-question',
 `ethio-quote-vowel-always' and `ethio-numeric-reduction'.
 
-\(fn BEGIN END &optional SECONDARY FORCE)" t nil)
+\(fn &optional SECONDARY FORCE)" t nil)
+
+(autoload 'ethio-fidel-to-sera-region "ethio-util" "\
+Replace all the FIDEL characters in the region to the SERA format.
 
-(autoload 'ethio-fidel-to-sera-buffer "ethio-util" "\
-Replace all the FIDEL characters in the current buffer to the SERA format.
 The variable `ethio-primary-language' specifies the primary
 language and `ethio-secondary-language' specifies the secondary.
 
-If the 1st optional parameter SECONDARY is non-nil, try to convert the
-region so that it begins in the secondary language; otherwise with the
-primary language.
+If the 3rd argument SECONDARY is given and non-nil, convert
+the region so that it begins with the secondary language; otherwise with
+the primary language.
 
-If the 2nd optional parameter FORCE is non-nil, convert even if the
+If the 4th argument FORCE is given and non-nil, convert even if the
 buffer is read-only.
 
 See also the descriptions of the variables
 `ethio-use-colon-for-colon', `ethio-use-three-dot-question',
 `ethio-quote-vowel-always' and `ethio-numeric-reduction'.
 
-\(fn &optional SECONDARY FORCE)" t nil)
-
-(autoload 'ethio-fidel-to-sera-mail-or-marker "ethio-util" "\
-Execute `ethio-fidel-to-sera-mail' or `ethio-fidel-to-sera-marker' depending on the current major mode.
-If in rmail-mode or in mail-mode, execute the former; otherwise latter.
-
-\(fn &optional ARG)" t nil)
-
-(autoload 'ethio-fidel-to-sera-mail "ethio-util" "\
-Convert FIDEL to SERA to read/write mail and news.
-
-If the body contains at least one Ethiopic character,
- 1) insert the string \"<sera>\" at the beginning of the body,
- 2) insert \"</sera>\" at the end of the body, and
- 3) convert the body into SERA.
-
-The very same procedure applies to the subject field, too.
-
-\(fn)" t nil)
+\(fn BEGIN END &optional SECONDARY FORCE)" t nil)
 
 (autoload 'ethio-fidel-to-sera-marker "ethio-util" "\
 Convert the regions surrounded by \"<sera>\" and \"</sera>\" from FIDEL to SERA.
@@ -10282,25 +10116,24 @@ Modify the vowel of the FIDEL that is under the cursor.
 Replace ASCII spaces with Ethiopic word separators in the region.
 
 In the specified region, replace word separators surrounded by two
-Ethiopic characters, depending on the first parameter CH, which should
+Ethiopic characters, depending on the first argument CH, which should
 be 1, 2, or 3.
 
 If CH = 1, word separator will be replaced with an ASCII space.
 If CH = 2, with two ASCII spaces.
 If CH = 3, with the Ethiopic colon-like word separator.
 
-The second and third parameters BEGIN and END specify the region.
+The 2nd and 3rd arguments BEGIN and END specify the region.
 
 \(fn CH BEGIN END)" t nil)
 
 (autoload 'ethio-input-special-character "ethio-util" "\
-Allow the user to input special characters.
+This function is deprecated.
 
 \(fn ARG)" t nil)
 
 (autoload 'ethio-fidel-to-tex-buffer "ethio-util" "\
 Convert each fidel characters in the current buffer into a fidel-tex command.
-Each command is always surrounded by braces.
 
 \(fn)" t nil)
 
@@ -10326,20 +10159,31 @@ Convert the Java escape sequences into corresponding Ethiopic characters.
 \(fn)" nil nil)
 
 (autoload 'ethio-find-file "ethio-util" "\
-Transcribe file content into Ethiopic depending on filename suffix.
+Transliterate file content into Ethiopic dependig on filename suffix.
 
 \(fn)" nil nil)
 
 (autoload 'ethio-write-file "ethio-util" "\
-Transcribe Ethiopic characters in ASCII depending on the file extension.
+Transliterate Ethiopic characters in ASCII depending on the file extension.
 
 \(fn)" nil nil)
 
+(autoload 'ethio-insert-ethio-space "ethio-util" "\
+Insert the Ethiopic word delimiter (the colon-like character).
+With ARG, insert that many delimiters.
+
+\(fn ARG)" t nil)
+
+(autoload 'ethio-composition-function "ethio-util" "\
+Not documented
+
+\(fn POS TO FONT-OBJECT STRING)" nil nil)
+
 ;;;***
 \f
 ;;;### (autoloads (eudc-load-eudc eudc-query-form eudc-expand-inline
 ;;;;;;  eudc-get-phone eudc-get-email eudc-set-server) "eudc" "net/eudc.el"
-;;;;;;  (18203 37788))
+;;;;;;  (18423 20180))
 ;;; Generated autoloads from net/eudc.el
 
 (autoload 'eudc-set-server "eudc" "\
@@ -10389,13 +10233,13 @@ This does nothing except loading eudc by autoload side-effect.
 
 \(fn)" t nil)
 
-(cond ((not (featurep 'xemacs)) (defvar eudc-tools-menu (make-sparse-keymap "Directory Search")) (fset 'eudc-tools-menu (symbol-value 'eudc-tools-menu)) (define-key eudc-tools-menu [phone] '("Get Phone" . eudc-get-phone)) (define-key eudc-tools-menu [email] '("Get Email" . eudc-get-email)) (define-key eudc-tools-menu [separator-eudc-email] '("--")) (define-key eudc-tools-menu [expand-inline] '("Expand Inline Query" . eudc-expand-inline)) (define-key eudc-tools-menu [query] '("Query with Form" . eudc-query-form)) (define-key eudc-tools-menu [separator-eudc-query] '("--")) (define-key eudc-tools-menu [new] '("New Server" . eudc-set-server)) (define-key eudc-tools-menu [load] '("Load Hotlist of Servers" . eudc-load-eudc))) (t (let ((menu '("Directory Search" ["Load Hotlist of Servers" eudc-load-eudc t] ["New Server" eudc-set-server t] ["---" nil nil] ["Query with Form" eudc-query-form t] ["Expand Inline Query" eudc-expand-inline t] ["---" nil nil] ["Get Email" eudc-get-email t] ["Get Phone" eudc-get-phone t]))) (if (not (featurep 'eudc-autoloads)) (if (featurep 'xemacs) (if (and (featurep 'menubar) (not (featurep 'infodock))) (add-submenu '("Tools") menu)) (require 'easymenu) (cond ((fboundp 'easy-menu-add-item) (easy-menu-add-item nil '("tools") (easy-menu-create-menu (car menu) (cdr menu)))) ((fboundp 'easy-menu-create-keymaps) (define-key global-map [menu-bar tools eudc] (cons "Directory Search" (easy-menu-create-keymaps "Directory Search" (cdr menu)))))))))))
+(cond ((not (featurep 'xemacs)) (defvar eudc-tools-menu (let ((map (make-sparse-keymap "Directory Search"))) (define-key map [phone] '(menu-item "Get Phone" eudc-get-phone :help "Get the phone field of name from the directory server")) (define-key map [email] '(menu-item "Get Email" eudc-get-email :help "Get the email field of NAME from the directory server")) (define-key map [separator-eudc-email] '("--")) (define-key map [expand-inline] '(menu-item "Expand Inline Query" eudc-expand-inline :help "Query the directory server, and expand the query string before point")) (define-key map [query] '(menu-item "Query with Form" eudc-query-form :help "Display a form to query the directory server")) (define-key map [separator-eudc-query] '("--")) (define-key map [new] '(menu-item "New Server" eudc-set-server :help "Set the directory server to SERVER using PROTOCOL")) (define-key map [load] '(menu-item "Load Hotlist of Servers" eudc-load-eudc :help "Load the Emacs Unified Directory Client")) map)) (fset 'eudc-tools-menu (symbol-value 'eudc-tools-menu))) (t (let ((menu '("Directory Search" ["Load Hotlist of Servers" eudc-load-eudc t] ["New Server" eudc-set-server t] ["---" nil nil] ["Query with Form" eudc-query-form t] ["Expand Inline Query" eudc-expand-inline t] ["---" nil nil] ["Get Email" eudc-get-email t] ["Get Phone" eudc-get-phone t]))) (if (not (featurep 'eudc-autoloads)) (if (featurep 'xemacs) (if (and (featurep 'menubar) (not (featurep 'infodock))) (add-submenu '("Tools") menu)) (require 'easymenu) (cond ((fboundp 'easy-menu-add-item) (easy-menu-add-item nil '("tools") (easy-menu-create-menu (car menu) (cdr menu)))) ((fboundp 'easy-menu-create-keymaps) (define-key global-map [menu-bar tools eudc] (cons "Directory Search" (easy-menu-create-keymaps "Directory Search" (cdr menu)))))))))))
 
 ;;;***
 \f
 ;;;### (autoloads (eudc-display-jpeg-as-button eudc-display-jpeg-inline
 ;;;;;;  eudc-display-sound eudc-display-mail eudc-display-url eudc-display-generic-binary)
-;;;;;;  "eudc-bob" "net/eudc-bob.el" (18203 37788))
+;;;;;;  "eudc-bob" "net/eudc-bob.el" (18335 54538))
 ;;; Generated autoloads from net/eudc-bob.el
 
 (autoload 'eudc-display-generic-binary "eudc-bob" "\
@@ -10431,7 +10275,7 @@ Display a button for the JPEG DATA.
 ;;;***
 \f
 ;;;### (autoloads (eudc-try-bbdb-insert eudc-insert-record-at-point-into-bbdb)
-;;;;;;  "eudc-export" "net/eudc-export.el" (18088 55111))
+;;;;;;  "eudc-export" "net/eudc-export.el" (18335 54538))
 ;;; Generated autoloads from net/eudc-export.el
 
 (autoload 'eudc-insert-record-at-point-into-bbdb "eudc-export" "\
@@ -10448,7 +10292,7 @@ Call `eudc-insert-record-at-point-into-bbdb' if on a record.
 ;;;***
 \f
 ;;;### (autoloads (eudc-edit-hotlist) "eudc-hotlist" "net/eudc-hotlist.el"
-;;;;;;  (18203 37788))
+;;;;;;  (18335 54538))
 ;;; Generated autoloads from net/eudc-hotlist.el
 
 (autoload 'eudc-edit-hotlist "eudc-hotlist" "\
@@ -10458,8 +10302,8 @@ Edit the hotlist of directory servers in a specialized buffer.
 
 ;;;***
 \f
-;;;### (autoloads (ewoc-create) "ewoc" "emacs-lisp/ewoc.el" (18088
-;;;;;;  55095))
+;;;### (autoloads (ewoc-create) "ewoc" "emacs-lisp/ewoc.el" (18335
+;;;;;;  54522))
 ;;; Generated autoloads from emacs-lisp/ewoc.el
 
 (autoload 'ewoc-create "ewoc" "\
@@ -10488,7 +10332,7 @@ fourth arg NOSEP non-nil inhibits this.
 ;;;### (autoloads (executable-make-buffer-file-executable-if-script-p
 ;;;;;;  executable-self-display executable-set-magic executable-interpret
 ;;;;;;  executable-command-find-posix-p) "executable" "progmodes/executable.el"
-;;;;;;  (18088 55116))
+;;;;;;  (18335 54542))
 ;;; Generated autoloads from progmodes/executable.el
 
 (autoload 'executable-command-find-posix-p "executable" "\
@@ -10531,7 +10375,7 @@ file modes.
 \f
 ;;;### (autoloads (expand-jump-to-next-slot expand-jump-to-previous-slot
 ;;;;;;  expand-abbrev-hook expand-add-abbrevs) "expand" "expand.el"
-;;;;;;  (18088 55083))
+;;;;;;  (18335 54513))
 ;;; Generated autoloads from expand.el
 
 (autoload 'expand-add-abbrevs "expand" "\
@@ -10580,7 +10424,7 @@ This is used only in conjunction with `expand-add-abbrevs'.
 
 ;;;***
 \f
-;;;### (autoloads (f90-mode) "f90" "progmodes/f90.el" (18212 46008))
+;;;### (autoloads (f90-mode) "f90" "progmodes/f90.el" (18418 38931))
 ;;; Generated autoloads from progmodes/f90.el
 
 (autoload 'f90-mode "f90" "\
@@ -10641,182 +10485,11 @@ with no args, if that value is non-nil.
 
 \(fn)" t nil)
 
-;;;***
-\f
-;;;### (autoloads (list-colors-display facemenu-read-color facemenu-remove-special
-;;;;;;  facemenu-remove-all facemenu-remove-face-props facemenu-set-read-only
-;;;;;;  facemenu-set-intangible facemenu-set-invisible facemenu-set-face-from-menu
-;;;;;;  facemenu-set-background facemenu-set-foreground facemenu-set-face)
-;;;;;;  "facemenu" "facemenu.el" (18088 55083))
-;;; Generated autoloads from facemenu.el
- (define-key global-map "\M-o" 'facemenu-keymap)
- (autoload 'facemenu-keymap "facemenu" "Keymap for face-changing commands." t 'keymap)
-
-(defvar facemenu-face-menu (let ((map (make-sparse-keymap "Face"))) (define-key map "o" (cons "Other..." 'facemenu-set-face)) map) "\
-Menu keymap for faces.")
-
-(defalias 'facemenu-face-menu facemenu-face-menu)
-
-(defvar facemenu-foreground-menu (let ((map (make-sparse-keymap "Foreground Color"))) (define-key map "o" (cons "Other..." 'facemenu-set-foreground)) map) "\
-Menu keymap for foreground colors.")
-
-(defalias 'facemenu-foreground-menu facemenu-foreground-menu)
-
-(defvar facemenu-background-menu (let ((map (make-sparse-keymap "Background Color"))) (define-key map "o" (cons "Other..." 'facemenu-set-background)) map) "\
-Menu keymap for background colors.")
-
-(defalias 'facemenu-background-menu facemenu-background-menu)
-
-(defvar facemenu-special-menu (let ((map (make-sparse-keymap "Special"))) (define-key map [115] (cons (purecopy "Remove Special") 'facemenu-remove-special)) (define-key map [116] (cons (purecopy "Intangible") 'facemenu-set-intangible)) (define-key map [118] (cons (purecopy "Invisible") 'facemenu-set-invisible)) (define-key map [114] (cons (purecopy "Read-Only") 'facemenu-set-read-only)) map) "\
-Menu keymap for non-face text-properties.")
-
-(defalias 'facemenu-special-menu facemenu-special-menu)
-
-(defvar facemenu-justification-menu (let ((map (make-sparse-keymap "Justification"))) (define-key map [99] (cons (purecopy "Center") 'set-justification-center)) (define-key map [98] (cons (purecopy "Full") 'set-justification-full)) (define-key map [114] (cons (purecopy "Right") 'set-justification-right)) (define-key map [108] (cons (purecopy "Left") 'set-justification-left)) (define-key map [117] (cons (purecopy "Unfilled") 'set-justification-none)) map) "\
-Submenu for text justification commands.")
-
-(defalias 'facemenu-justification-menu facemenu-justification-menu)
-
-(defvar facemenu-indentation-menu (let ((map (make-sparse-keymap "Indentation"))) (define-key map [decrease-right-margin] (cons (purecopy "Indent Right Less") 'decrease-right-margin)) (define-key map [increase-right-margin] (cons (purecopy "Indent Right More") 'increase-right-margin)) (define-key map [decrease-left-margin] (cons (purecopy "Indent Less") 'decrease-left-margin)) (define-key map [increase-left-margin] (cons (purecopy "Indent More") 'increase-left-margin)) map) "\
-Submenu for indentation commands.")
-
-(defalias 'facemenu-indentation-menu facemenu-indentation-menu)
-
-(defvar facemenu-menu nil "\
-Facemenu top-level menu keymap.")
-
-(setq facemenu-menu (make-sparse-keymap "Text Properties"))
-
-(let ((map facemenu-menu)) (define-key map [dc] (cons (purecopy "Display Colors") 'list-colors-display)) (define-key map [df] (cons (purecopy "Display Faces") 'list-faces-display)) (define-key map [dp] (cons (purecopy "Describe Properties") 'describe-text-properties)) (define-key map [ra] (cons (purecopy "Remove Text Properties") 'facemenu-remove-all)) (define-key map [rm] (cons (purecopy "Remove Face Properties") 'facemenu-remove-face-props)) (define-key map [s1] (list (purecopy "--"))))
-
-(let ((map facemenu-menu)) (define-key map [in] (cons (purecopy "Indentation") 'facemenu-indentation-menu)) (define-key map [ju] (cons (purecopy "Justification") 'facemenu-justification-menu)) (define-key map [s2] (list (purecopy "--"))) (define-key map [sp] (cons (purecopy "Special Properties") 'facemenu-special-menu)) (define-key map [bg] (cons (purecopy "Background Color") 'facemenu-background-menu)) (define-key map [fg] (cons (purecopy "Foreground Color") 'facemenu-foreground-menu)) (define-key map [fc] (cons (purecopy "Face") 'facemenu-face-menu)))
-
-(defalias 'facemenu-menu facemenu-menu)
-
-(autoload 'facemenu-set-face "facemenu" "\
-Apply FACE to the region or next character typed.
-
-If the region is active (normally true except in Transient
-Mark mode) and nonempty, and there is no prefix argument,
-this command applies FACE to the region.  Otherwise, it applies FACE
-to the faces to use for the next character
-inserted.  (Moving point or switching buffers before typing
-a character to insert cancels the specification.)
-
-If FACE is `default', to \"apply\" it means clearing
-the list of faces to be used.  For any other value of FACE,
-to \"apply\" it means putting FACE at the front of the list
-of faces to be used, and removing any faces further
-along in the list that would be completely overridden by
-preceding faces (including FACE).
-
-This command can also add FACE to the menu of faces,
-if `facemenu-listed-faces' says to do that.
-
-\(fn FACE &optional START END)" t nil)
-
-(autoload 'facemenu-set-foreground "facemenu" "\
-Set the foreground COLOR of the region or next character typed.
-This command reads the color in the minibuffer.
-
-If the region is active (normally true except in Transient Mark mode)
-and there is no prefix argument, this command sets the region to the
-requested face.
-
-Otherwise, this command specifies the face for the next character
-inserted.  Moving point or switching buffers before
-typing a character to insert cancels the specification.
-
-\(fn COLOR &optional START END)" t nil)
-
-(autoload 'facemenu-set-background "facemenu" "\
-Set the background COLOR of the region or next character typed.
-This command reads the color in the minibuffer.
-
-If the region is active (normally true except in Transient Mark mode)
-and there is no prefix argument, this command sets the region to the
-requested face.
-
-Otherwise, this command specifies the face for the next character
-inserted.  Moving point or switching buffers before
-typing a character to insert cancels the specification.
-
-\(fn COLOR &optional START END)" t nil)
-
-(autoload 'facemenu-set-face-from-menu "facemenu" "\
-Set the FACE of the region or next character typed.
-This function is designed to be called from a menu; FACE is determined
-using the event type of the menu entry.  If FACE is a symbol whose
-name starts with \"fg:\" or \"bg:\", then this functions sets the
-foreground or background to the color specified by the rest of the
-symbol's name.  Any other symbol is considered the name of a face.
-
-If the region is active (normally true except in Transient Mark mode)
-and there is no prefix argument, this command sets the region to the
-requested face.
-
-Otherwise, this command specifies the face for the next character
-inserted.  Moving point or switching buffers before typing a character
-to insert cancels the specification.
-
-\(fn FACE START END)" t nil)
-
-(autoload 'facemenu-set-invisible "facemenu" "\
-Make the region invisible.
-This sets the `invisible' text property; it can be undone with
-`facemenu-remove-special'.
-
-\(fn START END)" t nil)
-
-(autoload 'facemenu-set-intangible "facemenu" "\
-Make the region intangible: disallow moving into it.
-This sets the `intangible' text property; it can be undone with
-`facemenu-remove-special'.
-
-\(fn START END)" t nil)
-
-(autoload 'facemenu-set-read-only "facemenu" "\
-Make the region unmodifiable.
-This sets the `read-only' text property; it can be undone with
-`facemenu-remove-special'.
-
-\(fn START END)" t nil)
-
-(autoload 'facemenu-remove-face-props "facemenu" "\
-Remove `face' and `mouse-face' text properties.
-
-\(fn START END)" t nil)
-
-(autoload 'facemenu-remove-all "facemenu" "\
-Remove all text properties from the region.
-
-\(fn START END)" t nil)
-
-(autoload 'facemenu-remove-special "facemenu" "\
-Remove all the \"special\" text properties from the region.
-These special properties include `invisible', `intangible' and `read-only'.
-
-\(fn START END)" t nil)
-
-(autoload 'facemenu-read-color "facemenu" "\
-Read a color using the minibuffer.
-
-\(fn &optional PROMPT)" nil nil)
-
-(autoload 'list-colors-display "facemenu" "\
-Display names of defined colors, and show what they look like.
-If the optional argument LIST is non-nil, it should be a list of
-colors to display.  Otherwise, this command computes a list of
-colors that the current display can handle.  If the optional
-argument BUFFER-NAME is nil, it defaults to *Colors*.
-
-\(fn &optional LIST BUFFER-NAME)" t nil)
-
 ;;;***
 \f
 ;;;### (autoloads (feedmail-queue-reminder feedmail-run-the-queue
 ;;;;;;  feedmail-run-the-queue-global-prompt feedmail-run-the-queue-no-prompts
-;;;;;;  feedmail-send-it) "feedmail" "mail/feedmail.el" (18192 17587))
+;;;;;;  feedmail-send-it) "feedmail" "mail/feedmail.el" (18301 39793))
 ;;; Generated autoloads from mail/feedmail.el
 
 (autoload 'feedmail-send-it "feedmail" "\
@@ -10870,7 +10543,7 @@ you can set `feedmail-queue-reminder-alist' to nil.
 ;;;***
 \f
 ;;;### (autoloads (ffap-bindings dired-at-point ffap-at-mouse ffap-menu
-;;;;;;  find-file-at-point ffap-next) "ffap" "ffap.el" (18169 11931))
+;;;;;;  find-file-at-point ffap-next) "ffap" "ffap.el" (18369 28746))
 ;;; Generated autoloads from ffap.el
 
 (autoload 'ffap-next "ffap" "\
@@ -10929,7 +10602,7 @@ Evaluate the forms in variable `ffap-bindings'.
 ;;;### (autoloads (file-cache-minibuffer-complete file-cache-add-directory-recursively
 ;;;;;;  file-cache-add-directory-using-locate file-cache-add-directory-using-find
 ;;;;;;  file-cache-add-file file-cache-add-directory-list file-cache-add-directory)
-;;;;;;  "filecache" "filecache.el" (18169 11931))
+;;;;;;  "filecache" "filecache.el" (18335 54513))
 ;;; Generated autoloads from filecache.el
 
 (autoload 'file-cache-add-directory "filecache" "\
@@ -10982,14 +10655,11 @@ the name is considered already unique; only the second substitution
 \(directories) is done.
 
 \(fn ARG)" t nil)
- (define-key minibuffer-local-completion-map [C-tab] 'file-cache-minibuffer-complete)
- (define-key minibuffer-local-map [C-tab] 'file-cache-minibuffer-complete)
- (define-key minibuffer-local-must-match-map [C-tab] 'file-cache-minibuffer-complete)
 
 ;;;***
 \f
-;;;### (autoloads (filesets-init) "filesets" "filesets.el" (18197
-;;;;;;  21668))
+;;;### (autoloads (filesets-init) "filesets" "filesets.el" (18335
+;;;;;;  54513))
 ;;; Generated autoloads from filesets.el
 
 (autoload 'filesets-init "filesets" "\
@@ -11000,15 +10670,9 @@ Set up hooks, load the cache file -- if existing -- and build the menu.
 
 ;;;***
 \f
-;;;### (autoloads nil "fill" "textmodes/fill.el" (18210 22046))
-;;; Generated autoloads from textmodes/fill.el
-(put 'colon-double-space 'safe-local-variable 'booleanp)
-
-;;;***
-\f
-;;;### (autoloads (find-grep-dired find-name-dired find-dired find-grep-options
-;;;;;;  find-ls-subdir-switches find-ls-option) "find-dired" "find-dired.el"
-;;;;;;  (18088 55084))
+;;;### (autoloads (find-grep-dired find-name-dired find-dired find-name-arg
+;;;;;;  find-grep-options find-ls-subdir-switches find-ls-option)
+;;;;;;  "find-dired" "find-dired.el" (18418 59690))
 ;;; Generated autoloads from find-dired.el
 
 (defvar find-ls-option (if (eq system-type 'berkeley-unix) '("-ls" . "-gilsb") '("-exec ls -ld {} \\;" . "-ld")) "\
@@ -11034,6 +10698,13 @@ On other systems, the closest you can come is to use `-l'.")
 
 (custom-autoload 'find-grep-options "find-dired" t)
 
+(defvar find-name-arg (if read-file-name-completion-ignore-case "-iname" "-name") "\
+*Argument used to specify file name pattern.
+If `read-file-name-completion-ignore-case' is non-nil, -iname is used so that
+find also ignores case. Otherwise, -name is used.")
+
+(custom-autoload 'find-name-arg "find-dired" t)
+
 (autoload 'find-dired "find-dired" "\
 Run `find' and go into Dired mode on a buffer of the output.
 The command run (after changing into DIR) is
@@ -11069,7 +10740,7 @@ Thus ARG can also contain additional grep options.
 \f
 ;;;### (autoloads (ff-mouse-find-other-file-other-window ff-mouse-find-other-file
 ;;;;;;  ff-find-other-file ff-get-other-file) "find-file" "find-file.el"
-;;;;;;  (18088 55084))
+;;;;;;  (18335 54513))
 ;;; Generated autoloads from find-file.el
 
 (defvar ff-special-constructs '(("^#\\s *\\(include\\|import\\)\\s +[<\"]\\(.*\\)[>\"]" lambda nil (buffer-substring (match-beginning 2) (match-end 2)))) "\
@@ -11163,7 +10834,7 @@ Visit the file you click on in another window.
 ;;;;;;  find-variable find-variable-noselect find-function-other-frame
 ;;;;;;  find-function-other-window find-function find-function-noselect
 ;;;;;;  find-function-search-for-symbol find-library) "find-func"
-;;;;;;  "emacs-lisp/find-func.el" (18200 51265))
+;;;;;;  "emacs-lisp/find-func.el" (18337 43875))
 ;;; Generated autoloads from emacs-lisp/find-func.el
 
 (autoload 'find-library "find-func" "\
@@ -11317,7 +10988,7 @@ Define some key bindings for the find-function family of functions.
 ;;;***
 \f
 ;;;### (autoloads (find-lisp-find-dired-filter find-lisp-find-dired-subdirectories
-;;;;;;  find-lisp-find-dired) "find-lisp" "find-lisp.el" (18169 11931))
+;;;;;;  find-lisp-find-dired) "find-lisp" "find-lisp.el" (18335 54513))
 ;;; Generated autoloads from find-lisp.el
 
 (autoload 'find-lisp-find-dired "find-lisp" "\
@@ -11338,7 +11009,7 @@ Change the filter on a find-lisp-find-dired buffer to REGEXP.
 ;;;***
 \f
 ;;;### (autoloads (finder-by-keyword finder-commentary finder-list-keywords)
-;;;;;;  "finder" "finder.el" (18169 11931))
+;;;;;;  "finder" "finder.el" (18408 23731))
 ;;; Generated autoloads from finder.el
 
 (autoload 'finder-list-keywords "finder" "\
@@ -11360,7 +11031,7 @@ Find packages matching a given keyword.
 ;;;***
 \f
 ;;;### (autoloads (enable-flow-control-on enable-flow-control) "flow-ctrl"
-;;;;;;  "flow-ctrl.el" (18088 55084))
+;;;;;;  "flow-ctrl.el" (18335 54513))
 ;;; Generated autoloads from flow-ctrl.el
 
 (autoload 'enable-flow-control "flow-ctrl" "\
@@ -11382,7 +11053,7 @@ to get the effect of a C-q.
 ;;;***
 \f
 ;;;### (autoloads (fill-flowed fill-flowed-encode) "flow-fill" "gnus/flow-fill.el"
-;;;;;;  (18212 46006))
+;;;;;;  (18335 54532))
 ;;; Generated autoloads from gnus/flow-fill.el
 
 (autoload 'fill-flowed-encode "flow-fill" "\
@@ -11398,7 +11069,7 @@ Not documented
 ;;;***
 \f
 ;;;### (autoloads (flymake-mode-off flymake-mode-on flymake-mode)
-;;;;;;  "flymake" "progmodes/flymake.el" (18120 34752))
+;;;;;;  "flymake" "progmodes/flymake.el" (18356 12618))
 ;;; Generated autoloads from progmodes/flymake.el
 
 (autoload 'flymake-mode "flymake" "\
@@ -11422,7 +11093,7 @@ Turn flymake mode off.
 \f
 ;;;### (autoloads (flyspell-buffer flyspell-region flyspell-mode-off
 ;;;;;;  turn-off-flyspell turn-on-flyspell flyspell-mode flyspell-prog-mode)
-;;;;;;  "flyspell" "textmodes/flyspell.el" (18187 36841))
+;;;;;;  "flyspell" "textmodes/flyspell.el" (18425 17360))
 ;;; Generated autoloads from textmodes/flyspell.el
 
 (autoload 'flyspell-prog-mode "flyspell" "\
@@ -11492,7 +11163,7 @@ Flyspell whole buffer.
 \f
 ;;;### (autoloads (follow-delete-other-windows-and-split follow-mode
 ;;;;;;  turn-off-follow-mode turn-on-follow-mode) "follow" "follow.el"
-;;;;;;  (18200 51264))
+;;;;;;  (18369 28747))
 ;;; Generated autoloads from follow.el
 
 (autoload 'turn-on-follow-mode "follow" "\
@@ -11565,8 +11236,8 @@ in your `~/.emacs' file, replacing [f7] by your favourite key:
 
 ;;;***
 \f
-;;;### (autoloads (footnote-mode) "footnote" "mail/footnote.el" (18088
-;;;;;;  55109))
+;;;### (autoloads (footnote-mode) "footnote" "mail/footnote.el" (18335
+;;;;;;  54537))
 ;;; Generated autoloads from mail/footnote.el
 
 (autoload 'footnote-mode "footnote" "\
@@ -11587,7 +11258,7 @@ key             binding
 ;;;***
 \f
 ;;;### (autoloads (forms-find-file-other-window forms-find-file forms-mode)
-;;;;;;  "forms" "forms.el" (18088 55084))
+;;;;;;  "forms" "forms.el" (18335 54513))
 ;;; Generated autoloads from forms.el
 
 (autoload 'forms-mode "forms" "\
@@ -11624,7 +11295,7 @@ Visit a file in Forms mode in other window.
 ;;;***
 \f
 ;;;### (autoloads (fortran-mode) "fortran" "progmodes/fortran.el"
-;;;;;;  (18212 46008))
+;;;;;;  (18392 41073))
 ;;; Generated autoloads from progmodes/fortran.el
 
 (autoload 'fortran-mode "fortran" "\
@@ -11702,7 +11373,7 @@ with no args, if that value is non-nil.
 ;;;***
 \f
 ;;;### (autoloads (fortune fortune-to-signature fortune-compile fortune-from-region
-;;;;;;  fortune-add-fortune) "fortune" "play/fortune.el" (18088 55113))
+;;;;;;  fortune-add-fortune) "fortune" "play/fortune.el" (18335 54541))
 ;;; Generated autoloads from play/fortune.el
 
 (autoload 'fortune-add-fortune "fortune" "\
@@ -11752,7 +11423,7 @@ and choose the directory as the fortune-file.
 ;;;***
 \f
 ;;;### (autoloads (gdb-enable-debug gdb) "gdb-ui" "progmodes/gdb-ui.el"
-;;;;;;  (18197 21675))
+;;;;;;  (18424 41044))
 ;;; Generated autoloads from progmodes/gdb-ui.el
 
 (autoload 'gdb "gdb-ui" "\
@@ -11760,7 +11431,6 @@ Run gdb on program FILE in buffer *gud-FILE*.
 The directory containing FILE becomes the initial working
 directory and source-file directory for your debugger.
 
-
 If `gdb-many-windows' is nil (the default value) then gdb just
 pops up the GUD buffer unless `gdb-show-main' is t.  In this case
 it starts with two windows: one displaying the GUD buffer and the
@@ -11815,6 +11485,8 @@ session.
 
 \(fn COMMAND-LINE)" t nil)
 
+(defalias 'gdba 'gdb)
+
 (defvar gdb-enable-debug nil "\
 Non-nil means record the process input and output in `gdb-debug-log'.")
 
@@ -11823,8 +11495,8 @@ Non-nil means record the process input and output in `gdb-debug-log'.")
 ;;;***
 \f
 ;;;### (autoloads (generic-make-keywords-list generic-mode generic-mode-internal
-;;;;;;  define-generic-mode) "generic" "emacs-lisp/generic.el" (18173
-;;;;;;  8195))
+;;;;;;  define-generic-mode) "generic" "emacs-lisp/generic.el" (18341
+;;;;;;  12907))
 ;;; Generated autoloads from emacs-lisp/generic.el
 
 (defvar generic-mode-list nil "\
@@ -11899,7 +11571,7 @@ regular expression that can be used as an element of
 ;;;***
 \f
 ;;;### (autoloads (glasses-mode) "glasses" "progmodes/glasses.el"
-;;;;;;  (18088 55116))
+;;;;;;  (18335 54542))
 ;;; Generated autoloads from progmodes/glasses.el
 
 (autoload 'glasses-mode "glasses" "\
@@ -11913,7 +11585,7 @@ at places they belong to.
 \f
 ;;;### (autoloads (gmm-tool-bar-from-list gmm-widget-p gmm-error
 ;;;;;;  gmm-message gmm-regexp-concat) "gmm-utils" "gnus/gmm-utils.el"
-;;;;;;  (18212 46006))
+;;;;;;  (18335 54532))
 ;;; Generated autoloads from gnus/gmm-utils.el
 
 (autoload 'gmm-regexp-concat "gmm-utils" "\
@@ -11966,7 +11638,7 @@ DEFAULT-MAP specifies the default key map for ICON-LIST.
 ;;;***
 \f
 ;;;### (autoloads (gnus gnus-other-frame gnus-slave gnus-no-server
-;;;;;;  gnus-slave-no-server) "gnus" "gnus/gnus.el" (18212 46007))
+;;;;;;  gnus-slave-no-server) "gnus" "gnus/gnus.el" (18375 34229))
 ;;; Generated autoloads from gnus/gnus.el
 (when (fboundp 'custom-autoload)
  (custom-autoload 'gnus-select-method "gnus"))
@@ -12019,7 +11691,7 @@ prompt the user for the name of an NNTP server to use.
 ;;;;;;  gnus-agent-get-undownloaded-list gnus-agent-delete-group
 ;;;;;;  gnus-agent-rename-group gnus-agent-possibly-save-gcc gnus-agentize
 ;;;;;;  gnus-slave-unplugged gnus-plugged gnus-unplugged) "gnus-agent"
-;;;;;;  "gnus/gnus-agent.el" (18212 46006))
+;;;;;;  "gnus/gnus-agent.el" (18335 54532))
 ;;; Generated autoloads from gnus/gnus-agent.el
 
 (autoload 'gnus-unplugged "gnus-agent" "\
@@ -12110,7 +11782,7 @@ If CLEAN, obsolete (ignore).
 ;;;***
 \f
 ;;;### (autoloads (gnus-article-prepare-display) "gnus-art" "gnus/gnus-art.el"
-;;;;;;  (18212 46006))
+;;;;;;  (18423 57451))
 ;;; Generated autoloads from gnus/gnus-art.el
 
 (autoload 'gnus-article-prepare-display "gnus-art" "\
@@ -12121,7 +11793,7 @@ Make the current buffer look like a nice article.
 ;;;***
 \f
 ;;;### (autoloads (gnus-audio-play) "gnus-audio" "gnus/gnus-audio.el"
-;;;;;;  (18088 55102))
+;;;;;;  (18335 54532))
 ;;; Generated autoloads from gnus/gnus-audio.el
 
 (autoload 'gnus-audio-play "gnus-audio" "\
@@ -12132,7 +11804,7 @@ Play a sound FILE through the speaker.
 ;;;***
 \f
 ;;;### (autoloads (gnus-bookmark-bmenu-list gnus-bookmark-jump gnus-bookmark-set)
-;;;;;;  "gnus-bookmark" "gnus/gnus-bookmark.el" (18212 21481))
+;;;;;;  "gnus-bookmark" "gnus/gnus-bookmark.el" (18388 34730))
 ;;; Generated autoloads from gnus/gnus-bookmark.el
 
 (autoload 'gnus-bookmark-set "gnus-bookmark" "\
@@ -12157,8 +11829,8 @@ deletion, or > if it is flagged for displaying.
 \f
 ;;;### (autoloads (gnus-cache-delete-group gnus-cache-rename-group
 ;;;;;;  gnus-cache-generate-nov-databases gnus-cache-generate-active
-;;;;;;  gnus-jog-cache) "gnus-cache" "gnus/gnus-cache.el" (18212
-;;;;;;  46006))
+;;;;;;  gnus-jog-cache) "gnus-cache" "gnus/gnus-cache.el" (18335
+;;;;;;  54532))
 ;;; Generated autoloads from gnus/gnus-cache.el
 
 (autoload 'gnus-jog-cache "gnus-cache" "\
@@ -12200,7 +11872,7 @@ supported.
 ;;;***
 \f
 ;;;### (autoloads (gnus-delay-initialize gnus-delay-send-queue gnus-delay-article)
-;;;;;;  "gnus-delay" "gnus/gnus-delay.el" (18212 46006))
+;;;;;;  "gnus-delay" "gnus/gnus-delay.el" (18335 54532))
 ;;; Generated autoloads from gnus/gnus-delay.el
 
 (autoload 'gnus-delay-article "gnus-delay" "\
@@ -12236,7 +11908,7 @@ Checking delayed messages is skipped if optional arg NO-CHECK is non-nil.
 ;;;***
 \f
 ;;;### (autoloads (gnus-user-format-function-D gnus-user-format-function-d)
-;;;;;;  "gnus-diary" "gnus/gnus-diary.el" (18212 46006))
+;;;;;;  "gnus-diary" "gnus/gnus-diary.el" (18335 54532))
 ;;; Generated autoloads from gnus/gnus-diary.el
 
 (autoload 'gnus-user-format-function-d "gnus-diary" "\
@@ -12252,18 +11924,18 @@ Not documented
 ;;;***
 \f
 ;;;### (autoloads (turn-on-gnus-dired-mode) "gnus-dired" "gnus/gnus-dired.el"
-;;;;;;  (18212 46006))
+;;;;;;  (18375 34228))
 ;;; Generated autoloads from gnus/gnus-dired.el
 
 (autoload 'turn-on-gnus-dired-mode "gnus-dired" "\
 Convenience method to turn on gnus-dired-mode.
 
-\(fn)" nil nil)
+\(fn)" t nil)
 
 ;;;***
 \f
 ;;;### (autoloads (gnus-draft-reminder) "gnus-draft" "gnus/gnus-draft.el"
-;;;;;;  (18212 46006))
+;;;;;;  (18375 34229))
 ;;; Generated autoloads from gnus/gnus-draft.el
 
 (autoload 'gnus-draft-reminder "gnus-draft" "\
@@ -12275,8 +11947,8 @@ Reminder user if there are unsent drafts.
 \f
 ;;;### (autoloads (gnus-convert-png-to-face gnus-convert-face-to-png
 ;;;;;;  gnus-face-from-file gnus-x-face-from-file gnus-insert-random-x-face-header
-;;;;;;  gnus-random-x-face) "gnus-fun" "gnus/gnus-fun.el" (18212
-;;;;;;  46006))
+;;;;;;  gnus-random-x-face) "gnus-fun" "gnus/gnus-fun.el" (18423
+;;;;;;  57451))
 ;;; Generated autoloads from gnus/gnus-fun.el
 
 (autoload 'gnus-random-x-face "gnus-fun" "\
@@ -12321,7 +11993,7 @@ FILE should be a PNG file that's 48x48 and smaller than or equal to
 ;;;***
 \f
 ;;;### (autoloads (gnus-fetch-group-other-frame gnus-fetch-group)
-;;;;;;  "gnus-group" "gnus/gnus-group.el" (18212 46006))
+;;;;;;  "gnus-group" "gnus/gnus-group.el" (18414 53319))
 ;;; Generated autoloads from gnus/gnus-group.el
 
 (autoload 'gnus-fetch-group "gnus-group" "\
@@ -12339,7 +12011,7 @@ Pop up a frame and enter GROUP.
 ;;;***
 \f
 ;;;### (autoloads (gnus-batch-score) "gnus-kill" "gnus/gnus-kill.el"
-;;;;;;  (18212 46006))
+;;;;;;  (18335 54532))
 ;;; Generated autoloads from gnus/gnus-kill.el
 
 (defalias 'gnus-batch-kill 'gnus-batch-score)
@@ -12354,7 +12026,7 @@ Usage: emacs -batch -l ~/.emacs -l gnus -f gnus-batch-score
 \f
 ;;;### (autoloads (gnus-mailing-list-mode gnus-mailing-list-insinuate
 ;;;;;;  turn-on-gnus-mailing-list-mode) "gnus-ml" "gnus/gnus-ml.el"
-;;;;;;  (18212 46006))
+;;;;;;  (18335 54532))
 ;;; Generated autoloads from gnus/gnus-ml.el
 
 (autoload 'turn-on-gnus-mailing-list-mode "gnus-ml" "\
@@ -12379,7 +12051,7 @@ Minor mode for providing mailing-list commands.
 \f
 ;;;### (autoloads (gnus-group-split-fancy gnus-group-split gnus-group-split-update
 ;;;;;;  gnus-group-split-setup) "gnus-mlspl" "gnus/gnus-mlspl.el"
-;;;;;;  (18212 46006))
+;;;;;;  (18335 54532))
 ;;; Generated autoloads from gnus/gnus-mlspl.el
 
 (autoload 'gnus-group-split-setup "gnus-mlspl" "\
@@ -12480,7 +12152,7 @@ Calling (gnus-group-split-fancy nil nil \"mail.others\") returns:
 ;;;***
 \f
 ;;;### (autoloads (gnus-change-server) "gnus-move" "gnus/gnus-move.el"
-;;;;;;  (18212 46006))
+;;;;;;  (18335 54532))
 ;;; Generated autoloads from gnus/gnus-move.el
 
 (autoload 'gnus-change-server "gnus-move" "\
@@ -12492,7 +12164,7 @@ Update the .newsrc.eld file to reflect the change of nntp server.
 ;;;***
 \f
 ;;;### (autoloads (gnus-button-reply gnus-button-mailto gnus-msg-mail)
-;;;;;;  "gnus-msg" "gnus/gnus-msg.el" (18212 46006))
+;;;;;;  "gnus-msg" "gnus/gnus-msg.el" (18392 9532))
 ;;; Generated autoloads from gnus/gnus-msg.el
 
 (autoload 'gnus-msg-mail "gnus-msg" "\
@@ -12517,7 +12189,7 @@ Like `message-reply'.
 ;;;***
 \f
 ;;;### (autoloads (gnus-nocem-load-cache gnus-nocem-scan-groups)
-;;;;;;  "gnus-nocem" "gnus/gnus-nocem.el" (18212 46006))
+;;;;;;  "gnus-nocem" "gnus/gnus-nocem.el" (18335 54532))
 ;;; Generated autoloads from gnus/gnus-nocem.el
 
 (autoload 'gnus-nocem-scan-groups "gnus-nocem" "\
@@ -12534,7 +12206,7 @@ Load the NoCeM cache.
 \f
 ;;;### (autoloads (gnus-treat-newsgroups-picon gnus-treat-mail-picon
 ;;;;;;  gnus-treat-from-picon) "gnus-picon" "gnus/gnus-picon.el"
-;;;;;;  (18212 46006))
+;;;;;;  (18335 54532))
 ;;; Generated autoloads from gnus/gnus-picon.el
 
 (autoload 'gnus-treat-from-picon "gnus-picon" "\
@@ -12561,7 +12233,7 @@ If picons are already displayed, remove them.
 ;;;;;;  gnus-sorted-nintersection gnus-sorted-range-intersection
 ;;;;;;  gnus-sorted-intersection gnus-intersection gnus-sorted-complement
 ;;;;;;  gnus-sorted-ndifference gnus-sorted-difference) "gnus-range"
-;;;;;;  "gnus/gnus-range.el" (18212 46006))
+;;;;;;  "gnus/gnus-range.el" (18335 54532))
 ;;; Generated autoloads from gnus/gnus-range.el
 
 (autoload 'gnus-sorted-difference "gnus-range" "\
@@ -12629,11 +12301,11 @@ Add NUM into sorted LIST by side effect.
 ;;;***
 \f
 ;;;### (autoloads (gnus-registry-install-hooks gnus-registry-initialize)
-;;;;;;  "gnus-registry" "gnus/gnus-registry.el" (18212 46006))
+;;;;;;  "gnus-registry" "gnus/gnus-registry.el" (18414 53319))
 ;;; Generated autoloads from gnus/gnus-registry.el
 
 (autoload 'gnus-registry-initialize "gnus-registry" "\
-Not documented
+Initialize the Gnus registry.
 
 \(fn)" t nil)
 
@@ -12645,8 +12317,8 @@ Install the registry hooks.
 ;;;***
 \f
 ;;;### (autoloads (gnus-sieve-article-add-rule gnus-sieve-generate
-;;;;;;  gnus-sieve-update) "gnus-sieve" "gnus/gnus-sieve.el" (18088
-;;;;;;  55103))
+;;;;;;  gnus-sieve-update) "gnus-sieve" "gnus/gnus-sieve.el" (18335
+;;;;;;  54532))
 ;;; Generated autoloads from gnus/gnus-sieve.el
 
 (autoload 'gnus-sieve-update "gnus-sieve" "\
@@ -12674,7 +12346,7 @@ Not documented
 ;;;***
 \f
 ;;;### (autoloads (gnus-batch-brew-soup) "gnus-soup" "gnus/gnus-soup.el"
-;;;;;;  (18212 46006))
+;;;;;;  (18392 10529))
 ;;; Generated autoloads from gnus/gnus-soup.el
 
 (autoload 'gnus-batch-brew-soup "gnus-soup" "\
@@ -12694,7 +12366,7 @@ Note -- this function hasn't been implemented yet.
 ;;;***
 \f
 ;;;### (autoloads (gnus-update-format) "gnus-spec" "gnus/gnus-spec.el"
-;;;;;;  (18212 46006))
+;;;;;;  (18335 54532))
 ;;; Generated autoloads from gnus/gnus-spec.el
 
 (autoload 'gnus-update-format "gnus-spec" "\
@@ -12705,8 +12377,8 @@ Update the format specification near point.
 ;;;***
 \f
 ;;;### (autoloads (gnus-fixup-nnimap-unread-after-getting-new-news
-;;;;;;  gnus-declare-backend) "gnus-start" "gnus/gnus-start.el" (18212
-;;;;;;  46006))
+;;;;;;  gnus-declare-backend) "gnus-start" "gnus/gnus-start.el" (18341
+;;;;;;  12909))
 ;;; Generated autoloads from gnus/gnus-start.el
 
 (autoload 'gnus-declare-backend "gnus-start" "\
@@ -12722,7 +12394,7 @@ Not documented
 ;;;***
 \f
 ;;;### (autoloads (gnus-add-configuration) "gnus-win" "gnus/gnus-win.el"
-;;;;;;  (18212 46007))
+;;;;;;  (18421 29580))
 ;;; Generated autoloads from gnus/gnus-win.el
 
 (autoload 'gnus-add-configuration "gnus-win" "\
@@ -12732,7 +12404,7 @@ Add the window configuration CONF to `gnus-buffer-configuration'.
 
 ;;;***
 \f
-;;;### (autoloads (gomoku) "gomoku" "play/gomoku.el" (18088 55114))
+;;;### (autoloads (gomoku) "gomoku" "play/gomoku.el" (18335 54541))
 ;;; Generated autoloads from play/gomoku.el
 
 (autoload 'gomoku "gomoku" "\
@@ -12759,7 +12431,7 @@ Use \\[describe-mode] for more info.
 ;;;***
 \f
 ;;;### (autoloads (goto-address goto-address-at-point) "goto-addr"
-;;;;;;  "net/goto-addr.el" (18088 55111))
+;;;;;;  "net/goto-addr.el" (18335 54538))
 ;;; Generated autoloads from net/goto-addr.el
 
 (define-obsolete-function-alias 'goto-address-at-mouse 'goto-address-at-point "22.1")
@@ -12789,7 +12461,7 @@ Also fontifies the buffer appropriately (see `goto-address-fontify-p' and
 \f
 ;;;### (autoloads (rgrep lgrep grep-find grep grep-mode grep-compute-defaults
 ;;;;;;  grep-process-setup grep-setup-hook grep-find-command grep-command
-;;;;;;  grep-window-height) "grep" "progmodes/grep.el" (18135 53075))
+;;;;;;  grep-window-height) "grep" "progmodes/grep.el" (18413 14496))
 ;;; Generated autoloads from progmodes/grep.el
 
 (defvar grep-window-height nil "\
@@ -12831,6 +12503,11 @@ This variable's value takes effect when `grep-compute-defaults' is called.")
 The default find program for `grep-find-command'.
 This variable's value takes effect when `grep-compute-defaults' is called.")
 
+(defvar xargs-program "xargs" "\
+The default xargs program for `grep-find-command'.
+See `grep-find-use-xargs'.
+This variable's value takes effect when `grep-compute-defaults' is called.")
+
 (defvar grep-find-use-xargs nil "\
 Non-nil means that `grep-find' uses the `xargs' utility by default.
 If `exec', use `find -exec'.
@@ -12862,19 +12539,19 @@ Sets `grep-last-buffer' and `compilation-window-height'.
 (autoload 'grep "grep" "\
 Run grep, with user-specified args, and collect output in a buffer.
 While grep runs asynchronously, you can use \\[next-error] (M-x next-error),
-or \\<grep-mode-map>\\[compile-goto-error] in the grep output buffer, to go to the lines
-where grep found matches.
+or \\<grep-mode-map>\\[compile-goto-error] in the grep output buffer, to go to the lines where grep
+found matches.
 
 For doing a recursive `grep', see the `rgrep' command.  For running
 `grep' in a specific directory, see `lgrep'.
 
-This command uses a special history list for its COMMAND-ARGS, so you can
-easily repeat a grep command.
+This command uses a special history list for its COMMAND-ARGS, so you
+can easily repeat a grep command.
 
 A prefix argument says to default the argument based upon the current
 tag the cursor is over, substituting it into the last grep command
-in the grep command history (or into `grep-command'
-if that history list is empty).
+in the grep command history (or into `grep-command' if that history
+list is empty).
 
 \(fn COMMAND-ARGS)" t nil)
 
@@ -12902,8 +12579,8 @@ before it is executed.
 With two \\[universal-argument] prefixes, directly edit and run `grep-command'.
 
 Collect output in a buffer.  While grep runs asynchronously, you
-can use \\[next-error] (M-x next-error), or \\<grep-mode-map>\\[compile-goto-error]
-in the grep output buffer, to go to the lines where grep found matches.
+can use \\[next-error] (M-x next-error), or \\<grep-mode-map>\\[compile-goto-error] in the grep output buffer,
+to go to the lines where grep found matches.
 
 This command shares argument histories with \\[rgrep] and \\[grep].
 
@@ -12920,8 +12597,8 @@ before it is executed.
 With two \\[universal-argument] prefixes, directly edit and run `grep-find-command'.
 
 Collect output in a buffer.  While find runs asynchronously, you
-can use \\[next-error] (M-x next-error), or \\<grep-mode-map>\\[compile-goto-error]
-in the grep output buffer, to go to the lines where grep found matches.
+can use \\[next-error] (M-x next-error), or \\<grep-mode-map>\\[compile-goto-error] in the grep output buffer,
+to go to the lines where grep found matches.
 
 This command shares argument histories with \\[lgrep] and \\[grep-find].
 
@@ -12929,7 +12606,7 @@ This command shares argument histories with \\[lgrep] and \\[grep-find].
 
 ;;;***
 \f
-;;;### (autoloads (gs-load-image) "gs" "gs.el" (18088 55084))
+;;;### (autoloads (gs-load-image) "gs" "gs.el" (18335 54513))
 ;;; Generated autoloads from gs.el
 
 (autoload 'gs-load-image "gs" "\
@@ -12943,22 +12620,13 @@ the form \"WINDOW-ID PIXMAP-ID\".  Value is non-nil if successful.
 ;;;***
 \f
 ;;;### (autoloads (gdb-script-mode jdb pdb perldb xdb dbx sdb gud-gdb)
-;;;;;;  "gud" "progmodes/gud.el" (18214 4763))
+;;;;;;  "gud" "progmodes/gud.el" (18369 28763))
 ;;; Generated autoloads from progmodes/gud.el
 
 (autoload 'gud-gdb "gud" "\
 Run gdb on program FILE in buffer *gud-FILE*.
 The directory containing FILE becomes the initial working
-directory and source-file directory for your debugger.  By
-default this command starts GDB using a graphical interface.  See
-`gdba' for more information.
-
-To run GDB in text command mode, replace the GDB \"--annotate=3\"
-option with \"--fullname\" either in the minibuffer for the
-current Emacs session, or the custom variable
-`gud-gdb-command-name' for all future sessions.  You need to use
-text command mode to debug multiple programs within one Emacs
-session.
+directory and source-file directory for your debugger.
 
 \(fn COMMAND-LINE)" t nil)
 
@@ -13007,7 +12675,7 @@ The buffer is named \"*gud*\" if no initial class is given or
 switch is given, omit all whitespace between it and its value.
 
 See `gud-jdb-use-classpath' and `gud-jdb-classpath' documentation for
-information on how jdb accesses source files. Alternatively (if
+information on how jdb accesses source files.  Alternatively (if
 `gud-jdb-use-classpath' is nil), see `gud-jdb-directories' for the
 original source file access method.
 
@@ -13020,14 +12688,14 @@ gud, see `gud-mode'.
 (add-to-list 'auto-mode-alist '("/\\.[a-z0-9-]*gdbinit" . gdb-script-mode))
 
 (autoload 'gdb-script-mode "gud" "\
-Major mode for editing GDB scripts
+Major mode for editing GDB scripts.
 
 \(fn)" t nil)
 
 ;;;***
 \f
-;;;### (autoloads (handwrite) "handwrite" "play/handwrite.el" (18202
-;;;;;;  4002))
+;;;### (autoloads (handwrite) "handwrite" "play/handwrite.el" (18335
+;;;;;;  54541))
 ;;; Generated autoloads from play/handwrite.el
 
 (autoload 'handwrite "handwrite" "\
@@ -13045,7 +12713,7 @@ Variables: handwrite-linespace     (default 12)
 ;;;***
 \f
 ;;;### (autoloads (hanoi-unix-64 hanoi-unix hanoi) "hanoi" "play/hanoi.el"
-;;;;;;  (17822 31019))
+;;;;;;  (18366 7834))
 ;;; Generated autoloads from play/hanoi.el
 
 (autoload 'hanoi "hanoi" "\
@@ -13074,8 +12742,8 @@ to be updated.
 \f
 ;;;### (autoloads (mail-check-payment mail-add-payment-async mail-add-payment
 ;;;;;;  hashcash-verify-payment hashcash-insert-payment-async hashcash-insert-payment)
-;;;;;;  "hashcash" "gnus/hashcash.el" (18212 21477))
-;;; Generated autoloads from gnus/hashcash.el
+;;;;;;  "hashcash" "mail/hashcash.el" (18335 54537))
+;;; Generated autoloads from mail/hashcash.el
 
 (autoload 'hashcash-insert-payment "hashcash" "\
 Insert X-Payment and X-Hashcash headers with a payment for ARG
@@ -13119,7 +12787,7 @@ Prefix arg sets default accept amount temporarily.
 ;;;### (autoloads (scan-buf-previous-region scan-buf-next-region
 ;;;;;;  scan-buf-move-to-region help-at-pt-display-when-idle help-at-pt-set-timer
 ;;;;;;  help-at-pt-cancel-timer display-local-help help-at-pt-kbd-string
-;;;;;;  help-at-pt-string) "help-at-pt" "help-at-pt.el" (18088 55084))
+;;;;;;  help-at-pt-string) "help-at-pt" "help-at-pt.el" (18335 54513))
 ;;; Generated autoloads from help-at-pt.el
 
 (autoload 'help-at-pt-string "help-at-pt" "\
@@ -13249,7 +12917,7 @@ different regions.  With numeric argument ARG, behaves like
 ;;;### (autoloads (describe-categories describe-syntax describe-variable
 ;;;;;;  variable-at-point describe-function-1 describe-simplify-lib-file-name
 ;;;;;;  help-C-file-name describe-function) "help-fns" "help-fns.el"
-;;;;;;  (18201 33325))
+;;;;;;  (18420 22755))
 ;;; Generated autoloads from help-fns.el
 
 (autoload 'describe-function "help-fns" "\
@@ -13307,7 +12975,7 @@ BUFFER should be a buffer or a buffer name.
 ;;;***
 \f
 ;;;### (autoloads (three-step-help) "help-macro" "help-macro.el"
-;;;;;;  (18088 55084))
+;;;;;;  (18335 54513))
 ;;; Generated autoloads from help-macro.el
 
 (defvar three-step-help nil "\
@@ -13322,8 +12990,9 @@ A value of nil means skip the middle step, so that
 ;;;***
 \f
 ;;;### (autoloads (help-xref-on-pp help-insert-xref-button help-xref-button
-;;;;;;  help-make-xrefs help-setup-xref help-mode-finish help-mode-setup
-;;;;;;  help-mode) "help-mode" "help-mode.el" (18120 34749))
+;;;;;;  help-make-xrefs help-buffer help-setup-xref help-mode-finish
+;;;;;;  help-mode-setup help-mode) "help-mode" "help-mode.el" (18371
+;;;;;;  7271))
 ;;; Generated autoloads from help-mode.el
 
 (autoload 'help-mode "help-mode" "\
@@ -13358,6 +13027,11 @@ restore it properly when going back.
 
 \(fn ITEM INTERACTIVE-P)" nil nil)
 
+(autoload 'help-buffer "help-mode" "\
+Not documented
+
+\(fn)" nil nil)
+
 (autoload 'help-make-xrefs "help-mode" "\
 Parse and hyperlink documentation cross-references in the given BUFFER.
 
@@ -13406,7 +13080,7 @@ Add xrefs for symbols in `pp's output between FROM and TO.
 ;;;***
 \f
 ;;;### (autoloads (Helper-help Helper-describe-bindings) "helper"
-;;;;;;  "emacs-lisp/helper.el" (18088 55096))
+;;;;;;  "emacs-lisp/helper.el" (18335 54522))
 ;;; Generated autoloads from emacs-lisp/helper.el
 
 (autoload 'Helper-describe-bindings "helper" "\
@@ -13422,7 +13096,7 @@ Provide help for current mode.
 ;;;***
 \f
 ;;;### (autoloads (hexlify-buffer hexl-find-file hexl-mode) "hexl"
-;;;;;;  "hexl.el" (18211 32385))
+;;;;;;  "hexl.el" (18356 12617))
 ;;; Generated autoloads from hexl.el
 
 (autoload 'hexl-mode "hexl" "\
@@ -13519,7 +13193,7 @@ This discards the buffer's undo information.
 ;;;### (autoloads (hi-lock-write-interactive-patterns hi-lock-unface-buffer
 ;;;;;;  hi-lock-face-phrase-buffer hi-lock-face-buffer hi-lock-line-face-buffer
 ;;;;;;  global-hi-lock-mode hi-lock-mode) "hi-lock" "hi-lock.el"
-;;;;;;  (18169 11931))
+;;;;;;  (18416 36501))
 ;;; Generated autoloads from hi-lock.el
 
 (autoload 'hi-lock-mode "hi-lock" "\
@@ -13551,8 +13225,8 @@ called interactively, are:
   be read the next time file is loaded or when the \\[hi-lock-find-patterns] command
   is issued.  The inserted regexps are in the form of font lock keywords.
   (See `font-lock-keywords'.)  They may be edited and re-loaded with \\[hi-lock-find-patterns],
-  any valid `font-lock-keywords' form is acceptable. When a file is
-  loaded the patterns are read if `hi-lock-file-patterns-policy is
+  any valid `font-lock-keywords' form is acceptable.  When a file is
+  loaded the patterns are read if `hi-lock-file-patterns-policy' is
   'ask and the user responds y to the prompt, or if
   `hi-lock-file-patterns-policy' is bound to a function and that
   function returns t.
@@ -13648,8 +13322,8 @@ be found in variable `hi-lock-interactive-patterns'.
 
 ;;;***
 \f
-;;;### (autoloads (hide-ifdef-lines hide-ifdef-read-only hide-ifdef-initially
-;;;;;;  hide-ifdef-mode) "hideif" "progmodes/hideif.el" (18088 55116))
+;;;### (autoloads (hide-ifdef-mode) "hideif" "progmodes/hideif.el"
+;;;;;;  (18423 20180))
 ;;; Generated autoloads from progmodes/hideif.el
 
 (autoload 'hide-ifdef-mode "hideif" "\
@@ -13686,28 +13360,13 @@ how the hiding is done:
 
 \(fn &optional ARG)" t nil)
 
-(defvar hide-ifdef-initially nil "\
-*Non-nil means call `hide-ifdefs' when Hide-Ifdef mode is first activated.")
-
-(custom-autoload 'hide-ifdef-initially "hideif" t)
-
-(defvar hide-ifdef-read-only nil "\
-*Set to non-nil if you want buffer to be read-only while hiding text.")
-
-(custom-autoload 'hide-ifdef-read-only "hideif" t)
-
-(defvar hide-ifdef-lines nil "\
-*Non-nil means hide the #ifX, #else, and #endif lines.")
-
-(custom-autoload 'hide-ifdef-lines "hideif" t)
-
 ;;;***
 \f
 ;;;### (autoloads (turn-off-hideshow hs-minor-mode) "hideshow" "progmodes/hideshow.el"
-;;;;;;  (18128 32656))
+;;;;;;  (18375 33071))
 ;;; Generated autoloads from progmodes/hideshow.el
 
-(defvar hs-special-modes-alist '((c-mode "{" "}" "/[*/]" nil hs-c-like-adjust-block-beginning) (c++-mode "{" "}" "/[*/]" nil hs-c-like-adjust-block-beginning) (bibtex-mode ("^@\\S(*\\(\\s(\\)" 1)) (java-mode "{" "}" "/[*/]" nil hs-c-like-adjust-block-beginning)) "\
+(defvar hs-special-modes-alist '((c-mode "{" "}" "/[*/]" nil hs-c-like-adjust-block-beginning) (c++-mode "{" "}" "/[*/]" nil hs-c-like-adjust-block-beginning) (bibtex-mode ("@\\S(*\\(\\s(\\)" 1)) (java-mode "{" "}" "/[*/]" nil hs-c-like-adjust-block-beginning)) "\
 *Alist for initializing the hideshow variables for different modes.
 Each element has the form
   (MODE START END COMMENT-START FORWARD-SEXP-FUNC ADJUST-BEG-FUNC).
@@ -13736,8 +13395,7 @@ appropriate values.  The regexps should not contain leading or trailing
 whitespace.  Case does not matter.")
 
 (autoload 'hs-minor-mode "hideshow" "\
-Toggle hideshow minor mode.
-With ARG, turn hideshow minor mode on if ARG is positive, off otherwise.
+Minor mode to selectively hide/show code and comment blocks. 
 When hideshow minor mode is on, the menu bar is augmented with hideshow
 commands and the hideshow commands are enabled.
 The value '(hs . t) is added to `buffer-invisibility-spec'.
@@ -13763,52 +13421,59 @@ Unconditionally turn off `hs-minor-mode'.
 
 ;;;***
 \f
-;;;### (autoloads (global-highlight-changes highlight-compare-with-file
+;;;### (autoloads (global-highlight-changes-mode highlight-compare-with-file
 ;;;;;;  highlight-compare-buffers highlight-changes-rotate-faces
 ;;;;;;  highlight-changes-previous-change highlight-changes-next-change
-;;;;;;  highlight-changes-mode highlight-changes-remove-highlight)
-;;;;;;  "hilit-chg" "hilit-chg.el" (18120 34749))
+;;;;;;  highlight-changes-remove-highlight highlight-changes-visible-mode
+;;;;;;  highlight-changes-mode) "hilit-chg" "hilit-chg.el" (18421
+;;;;;;  41093))
 ;;; Generated autoloads from hilit-chg.el
 
-(autoload 'highlight-changes-remove-highlight "hilit-chg" "\
-Remove the change face from the region between BEG and END.
-This allows you to manually remove highlighting from uninteresting changes.
-
-\(fn BEG END)" t nil)
-
 (autoload 'highlight-changes-mode "hilit-chg" "\
-Toggle (or initially set) Highlight Changes mode.
-
-Without an argument:
-  If Highlight Changes mode is not enabled, then enable it (in either active
-  or passive state as determined by the variable
-  `highlight-changes-initial-state'); otherwise, toggle between active
-  and passive state.
+Toggle Highlight Changes mode.
 
-With an argument ARG:
-  If ARG is positive, set state to active;
-  If ARG is zero, set state to passive;
-  If ARG is negative, disable Highlight Changes mode completely.
+With ARG, turn Highlight Changes mode on if and only if arg is positive.
 
-Active state  - means changes are shown in a distinctive face.
-Passive state - means changes are kept and new ones recorded but are
-               not displayed in a different face.
+In Highlight Changes mode changes are recorded with a text property.
+Normally they are displayed in a distinctive face, but command
+\\[highlight-changes-visible-mode] can be used to toggles this
+on and off.
 
-Functions:
+Other functions for buffers in this mode include:
 \\[highlight-changes-next-change] - move point to beginning of next change
 \\[highlight-changes-previous-change] - move to beginning of previous change
-\\[highlight-compare-with-file] - mark text as changed by comparing this
-       buffer with the contents of a file
 \\[highlight-changes-remove-highlight] - remove the change face from the region
-\\[highlight-changes-rotate-faces] - rotate different \"ages\" of changes through
-       various faces
+\\[highlight-changes-rotate-faces] - rotate different \"ages\" of changes
+through        various faces.
+\\[highlight-compare-with-file] - mark text as changed by comparing this
+buffer with the contents of a file
+\\[highlight-compare-buffers] highlights differences between two buffers.
 
 Hook variables:
-`highlight-changes-enable-hook'  - when enabling Highlight Changes mode
-`highlight-changes-toggle-hook'  - when entering active or passive state
-`highlight-changes-disable-hook' - when turning off Highlight Changes mode
+`highlight-changes-enable-hook': called when enabling Highlight Changes mode.
+`highlight-changes-disable-hook': called when disabling Highlight Changes mode.
+
+\(fn &optional ARG)" t nil)
+
+(autoload 'highlight-changes-visible-mode "hilit-chg" "\
+Toggle visiblility of changes when buffer is in Highlight Changes mode.
+
+This mode only has an effect when Highlight Changes mode is on.
+It allows toggling between whether or not the changed text is displayed
+in a distinctive face.
+
+The default value can be customized with variable
+`highlight-changes-visibility-initial-state'
+
+This command does not itself set highlight-changes mode.
+
+\(fn &optional ARG)" t nil)
+
+(autoload 'highlight-changes-remove-highlight "hilit-chg" "\
+Remove the change face from the region between BEG and END.
+This allows you to manually remove highlighting from uninteresting changes.
 
-\(fn &optional ARG)" t nil)
+\(fn BEG END)" t nil)
 
 (autoload 'highlight-changes-next-change "hilit-chg" "\
 Move to the beginning of the next change, if in Highlight Changes mode.
@@ -13821,7 +13486,7 @@ Move to the beginning of the previous change, if in Highlight Changes mode.
 \(fn)" t nil)
 
 (autoload 'highlight-changes-rotate-faces "hilit-chg" "\
-Rotate the faces used by Highlight Changes mode.
+Rotate the faces if in Highlight Changes mode and the changes are visible.
 
 Current changes are displayed in the face described by the first element
 of `highlight-changes-face-list', one level older changes are shown in
@@ -13869,25 +13534,20 @@ changes are made, so \\[highlight-changes-next-change] and
 
 \(fn FILE-B)" t nil)
 
-(autoload 'global-highlight-changes "hilit-chg" "\
-Turn on or off global Highlight Changes mode.
-
-When called interactively:
-- if no prefix, toggle global Highlight Changes mode on or off
-- if called with a positive prefix (or just C-u) turn it on in active mode
-- if called with a zero prefix turn it on in passive mode
-- if called with a negative prefix turn it off
+(defvar global-highlight-changes-mode nil "\
+Non-nil if Global-Highlight-Changes mode is enabled.
+See the command `global-highlight-changes-mode' for a description of this minor mode.
+Setting this variable directly does not take effect;
+either customize it (see the info node `Easy Customization')
+or call the function `global-highlight-changes-mode'.")
 
-When called from a program:
-- if ARG is nil or omitted, turn it off
-- if ARG is `active', turn it on in active mode
-- if ARG is `passive', turn it on in passive mode
-- otherwise just turn it on
+(custom-autoload 'global-highlight-changes-mode "hilit-chg" nil)
 
-When global Highlight Changes mode is enabled, Highlight Changes mode is turned
-on for future \"suitable\" buffers (and for \"suitable\" existing buffers if
-variable `highlight-changes-global-changes-existing-buffers' is non-nil).
-\"Suitability\" is determined by variable `highlight-changes-global-modes'.
+(autoload 'global-highlight-changes-mode "hilit-chg" "\
+Toggle Highlight-Changes mode in every possible buffer.
+With prefix ARG, turn Global-Highlight-Changes mode on if and only if ARG is positive.
+Highlight-Changes mode is enabled in all buffers where `highlight-changes-mode-turn-on' would do it.
+See `highlight-changes-mode' for more information on Highlight-Changes mode.
 
 \(fn &optional ARG)" t nil)
 
@@ -13897,7 +13557,7 @@ variable `highlight-changes-global-changes-existing-buffers' is non-nil).
 ;;;;;;  hippie-expand-ignore-buffers hippie-expand-max-buffers hippie-expand-no-restriction
 ;;;;;;  hippie-expand-dabbrev-as-symbol hippie-expand-dabbrev-skip-space
 ;;;;;;  hippie-expand-verbose hippie-expand-try-functions-list) "hippie-exp"
-;;;;;;  "hippie-exp.el" (18088 55085))
+;;;;;;  "hippie-exp.el" (18335 54513))
 ;;; Generated autoloads from hippie-exp.el
 
 (defvar hippie-expand-try-functions-list '(try-complete-file-name-partially try-complete-file-name try-expand-all-abbrevs try-expand-list try-expand-line try-expand-dabbrev try-expand-dabbrev-all-buffers try-expand-dabbrev-from-kill try-complete-lisp-symbol-partially try-complete-lisp-symbol) "\
@@ -13970,7 +13630,7 @@ argument VERBOSE non-nil makes the function verbose.
 ;;;***
 \f
 ;;;### (autoloads (global-hl-line-mode hl-line-mode) "hl-line" "hl-line.el"
-;;;;;;  (18088 55085))
+;;;;;;  (18335 54513))
 ;;; Generated autoloads from hl-line.el
 
 (autoload 'hl-line-mode "hl-line" "\
@@ -14011,44 +13671,44 @@ Global-Hl-Line mode uses the functions `global-hl-line-unhighlight' and
 ;;;***
 \f
 ;;;### (autoloads (list-holidays holidays) "holidays" "calendar/holidays.el"
-;;;;;;  (18157 34340))
+;;;;;;  (18427 6636))
 ;;; Generated autoloads from calendar/holidays.el
 
 (autoload 'holidays "holidays" "\
 Display the holidays for last month, this month, and next month.
-If called with an optional prefix argument, prompts for month and year.
-
+If called with an optional prefix argument ARG, prompts for month and year.
 This function is suitable for execution in a .emacs file.
 
 \(fn &optional ARG)" t nil)
 
 (autoload 'list-holidays "holidays" "\
 Display holidays for years Y1 to Y2 (inclusive).
-
-The optional list of holidays L defaults to `calendar-holidays'.
-If you want to control what holidays are displayed, use a
-different list.  For example,
+Y2 defaults to Y1.  The optional list of holidays L defaults to
+`calendar-holidays'.  If you want to control what holidays are
+displayed, use a different list.  For example,
 
   (list-holidays 2006 2006
-    (append general-holidays local-holidays other-holidays))
+    (append holiday-general-holidays holiday-local-holidays))
 
-will display holidays for the year 2006 defined in the 3
+will display holidays for the year 2006 defined in the two
 mentioned lists, and nothing else.
 
 When called interactively, this command offers a choice of
-holidays, based on the variables `solar-holidays' etc.  See the
+holidays, based on the variables `holiday-solar-holidays' etc.  See the
 documentation of `calendar-holidays' for a list of the variables
 that control the choices, as well as a description of the format
 of a holiday list.
 
 The optional LABEL is used to label the buffer created.
 
-\(fn Y1 Y2 &optional L LABEL)" t nil)
+\(fn Y1 &optional Y2 L LABEL)" t nil)
+
+(defalias 'holiday-list 'list-holidays)
 
 ;;;***
 \f
-;;;### (autoloads (html2text) "html2text" "gnus/html2text.el" (18212
-;;;;;;  46007))
+;;;### (autoloads (html2text) "html2text" "gnus/html2text.el" (18335
+;;;;;;  54532))
 ;;; Generated autoloads from gnus/html2text.el
 
 (autoload 'html2text "html2text" "\
@@ -14080,7 +13740,7 @@ Convert HTML to plain text in the current buffer.
 ;;;;;;  ibuffer-backward-filter-group ibuffer-forward-filter-group
 ;;;;;;  ibuffer-toggle-filter-group ibuffer-mouse-toggle-filter-group
 ;;;;;;  ibuffer-interactive-filter-by-mode ibuffer-mouse-filter-by-mode
-;;;;;;  ibuffer-auto-mode) "ibuf-ext" "ibuf-ext.el" (18208 48750))
+;;;;;;  ibuffer-auto-mode) "ibuf-ext" "ibuf-ext.el" (18335 54513))
 ;;; Generated autoloads from ibuf-ext.el
 
 (autoload 'ibuffer-auto-mode "ibuf-ext" "\
@@ -14289,6 +13949,7 @@ Toggle whether or not sorting is in reverse order.
  (autoload 'ibuffer-do-sort-by-mode-name "ibuf-ext")
  (autoload 'ibuffer-do-sort-by-alphabetic "ibuf-ext")
  (autoload 'ibuffer-do-sort-by-size "ibuf-ext")
+ (autoload 'ibuffer-do-sort-by-filename/process "ibuf-ext")
 
 (autoload 'ibuffer-bs-show "ibuf-ext" "\
 Emulate `bs-show' from the bs.el package.
@@ -14442,8 +14103,8 @@ defaults to one.
 ;;;***
 \f
 ;;;### (autoloads (define-ibuffer-filter define-ibuffer-op define-ibuffer-sorter
-;;;;;;  define-ibuffer-column) "ibuf-macs" "ibuf-macs.el" (18088
-;;;;;;  55085))
+;;;;;;  define-ibuffer-column) "ibuf-macs" "ibuf-macs.el" (18335
+;;;;;;  54513))
 ;;; Generated autoloads from ibuf-macs.el
 
 (autoload 'define-ibuffer-column "ibuf-macs" "\
@@ -14532,7 +14193,7 @@ bound to the current value of the filter.
 ;;;***
 \f
 ;;;### (autoloads (ibuffer ibuffer-other-window ibuffer-list-buffers)
-;;;;;;  "ibuffer" "ibuffer.el" (18120 34749))
+;;;;;;  "ibuffer" "ibuffer.el" (18371 38464))
 ;;; Generated autoloads from ibuffer.el
 
 (autoload 'ibuffer-list-buffers "ibuffer" "\
@@ -14573,7 +14234,7 @@ FORMATS is the value to use for `ibuffer-formats'.
 \f
 ;;;### (autoloads (icalendar-import-buffer icalendar-import-file
 ;;;;;;  icalendar-export-region icalendar-export-file) "icalendar"
-;;;;;;  "calendar/icalendar.el" (18173 8195))
+;;;;;;  "calendar/icalendar.el" (18426 30977))
 ;;; Generated autoloads from calendar/icalendar.el
 
 (autoload 'icalendar-export-file "icalendar" "\
@@ -14625,8 +14286,8 @@ buffer `*icalendar-errors*'.
 
 ;;;***
 \f
-;;;### (autoloads (icomplete-mode) "icomplete" "icomplete.el" (18120
-;;;;;;  34749))
+;;;### (autoloads (icomplete-mode) "icomplete" "icomplete.el" (18403
+;;;;;;  34898))
 ;;; Generated autoloads from icomplete.el
 
 (defvar icomplete-mode nil "\
@@ -14647,7 +14308,7 @@ otherwise turn it off.
 
 ;;;***
 \f
-;;;### (autoloads (icon-mode) "icon" "progmodes/icon.el" (18088 55116))
+;;;### (autoloads (icon-mode) "icon" "progmodes/icon.el" (18335 54542))
 ;;; Generated autoloads from progmodes/icon.el
 
 (autoload 'icon-mode "icon" "\
@@ -14688,7 +14349,7 @@ with no args, if that value is non-nil.
 ;;;***
 \f
 ;;;### (autoloads (idlwave-shell) "idlw-shell" "progmodes/idlw-shell.el"
-;;;;;;  (18201 33329))
+;;;;;;  (18341 12917))
 ;;; Generated autoloads from progmodes/idlw-shell.el
 
 (autoload 'idlwave-shell "idlw-shell" "\
@@ -14714,7 +14375,7 @@ See also the variable `idlwave-shell-prompt-pattern'.
 ;;;***
 \f
 ;;;### (autoloads (idlwave-mode) "idlwave" "progmodes/idlwave.el"
-;;;;;;  (18197 21676))
+;;;;;;  (18374 12124))
 ;;; Generated autoloads from progmodes/idlwave.el
 
 (autoload 'idlwave-mode "idlwave" "\
@@ -14849,8 +14510,8 @@ The main features of this mode are
 ;;;;;;  ido-find-alternate-file ido-find-file-other-window ido-find-file
 ;;;;;;  ido-find-file-in-dir ido-switch-buffer-other-frame ido-insert-buffer
 ;;;;;;  ido-kill-buffer ido-display-buffer ido-switch-buffer-other-window
-;;;;;;  ido-switch-buffer ido-mode ido-mode) "ido" "ido.el" (18169
-;;;;;;  11931))
+;;;;;;  ido-switch-buffer ido-mode ido-mode) "ido" "ido.el" (18345
+;;;;;;  40619))
 ;;; Generated autoloads from ido.el
 
 (defvar ido-mode nil "\
@@ -15111,7 +14772,7 @@ DEF, if non-nil, is the default value.
 
 ;;;***
 \f
-;;;### (autoloads (ielm) "ielm" "ielm.el" (18214 4479))
+;;;### (autoloads (ielm) "ielm" "ielm.el" (18371 9336))
 ;;; Generated autoloads from ielm.el
  (add-hook 'same-window-buffer-names "*ielm*")
 
@@ -15124,7 +14785,7 @@ Switches to the buffer `*ielm*', or creates it if it does not exist.
 ;;;***
 \f
 ;;;### (autoloads (iimage-mode turn-on-iimage-mode) "iimage" "iimage.el"
-;;;;;;  (18088 55085))
+;;;;;;  (18335 54513))
 ;;; Generated autoloads from iimage.el
 
 (autoload 'turn-on-iimage-mode "iimage" "\
@@ -15143,7 +14804,7 @@ Toggle inline image minor mode.
 ;;;;;;  insert-image put-image create-image image-type-auto-detected-p
 ;;;;;;  image-type-available-p image-type image-type-from-file-name
 ;;;;;;  image-type-from-file-header image-type-from-buffer image-type-from-data)
-;;;;;;  "image" "image.el" (18186 14736))
+;;;;;;  "image" "image.el" (18335 54513))
 ;;; Generated autoloads from image.el
 
 (autoload 'image-type-from-data "image" "\
@@ -15326,7 +14987,7 @@ Example:
 ;;;;;;  image-dired-jump-thumbnail-buffer image-dired-delete-tag
 ;;;;;;  image-dired-tag-files image-dired-show-all-from-dir image-dired-display-thumbs
 ;;;;;;  image-dired-dired-with-window-configuration image-dired-dired-insert-marked-thumbs)
-;;;;;;  "image-dired" "image-dired.el" (18202 3995))
+;;;;;;  "image-dired" "image-dired.el" (18335 54513))
 ;;; Generated autoloads from image-dired.el
 
 (autoload 'image-dired-dired-insert-marked-thumbs "image-dired" "\
@@ -15460,7 +15121,7 @@ easy-to-use form.
 \f
 ;;;### (autoloads (auto-image-file-mode insert-image-file image-file-name-regexp
 ;;;;;;  image-file-name-regexps image-file-name-extensions) "image-file"
-;;;;;;  "image-file.el" (18123 58387))
+;;;;;;  "image-file.el" (18335 54513))
 ;;; Generated autoloads from image-file.el
 
 (defvar image-file-name-extensions '("png" "jpeg" "jpg" "gif" "tiff" "tif" "xbm" "xpm" "pbm" "pgm" "ppm" "pnm" "svg") "\
@@ -15521,8 +15182,8 @@ Image files are those whose name has an extension in
 
 ;;;***
 \f
-;;;### (autoloads (image-mode-maybe image-minor-mode image-mode)
-;;;;;;  "image-mode" "image-mode.el" (18132 64031))
+;;;### (autoloads (image-bookmark-jump image-mode-maybe image-minor-mode
+;;;;;;  image-mode) "image-mode" "image-mode.el" (18420 18524))
 ;;; Generated autoloads from image-mode.el
  (push '("\\.jpe?g\\'"    . image-mode) auto-mode-alist)
  (push '("\\.png\\'"      . image-mode) auto-mode-alist)
@@ -15560,10 +15221,15 @@ information on these modes.
 
 \(fn)" t nil)
 
+(autoload 'image-bookmark-jump "image-mode" "\
+Not documented
+
+\(fn BMK)" nil nil)
+
 ;;;***
 \f
 ;;;### (autoloads (imenu imenu-add-menubar-index imenu-add-to-menubar
-;;;;;;  imenu-sort-function) "imenu" "imenu.el" (18120 34749))
+;;;;;;  imenu-sort-function) "imenu" "imenu.el" (18335 54513))
 ;;; Generated autoloads from imenu.el
 
 (defvar imenu-sort-function nil "\
@@ -15676,9 +15342,9 @@ for more information.
 
 ;;;***
 \f
-;;;### (autoloads (indian-char-glyph indian-glyph-char in-is13194-pre-write-conversion
+;;;### (autoloads (indian-2-column-to-ucs-region in-is13194-pre-write-conversion
 ;;;;;;  in-is13194-post-read-conversion indian-compose-string indian-compose-region)
-;;;;;;  "ind-util" "language/ind-util.el" (18088 55109))
+;;;;;;  "ind-util" "language/ind-util.el" (18341 12912))
 ;;; Generated autoloads from language/ind-util.el
 
 (autoload 'indian-compose-region "ind-util" "\
@@ -15701,27 +15367,16 @@ Not documented
 
 \(fn FROM TO)" nil nil)
 
-(autoload 'indian-glyph-char "ind-util" "\
-Return character of charset `indian-glyph' made from glyph index INDEX.
-The variable `indian-default-script' specifies the script of the glyph.
-Optional argument SCRIPT, if non-nil, overrides `indian-default-script'.
-See also the function `indian-char-glyph'.
-
-\(fn INDEX &optional SCRIPT)" nil nil)
+(autoload 'indian-2-column-to-ucs-region "ind-util" "\
+Convert old Emacs Devanagari characters to UCS.
 
-(autoload 'indian-char-glyph "ind-util" "\
-Return information about the glyph code for CHAR of `indian-glyph' charset.
-The value is (INDEX . SCRIPT), where INDEX is the glyph index
-in the font that Indian script name SCRIPT specifies.
-See also the function `indian-glyph-char'.
-
-\(fn CHAR)" nil nil)
+\(fn FROM TO)" t nil)
 
 ;;;***
 \f
 ;;;### (autoloads (inferior-lisp inferior-lisp-prompt inferior-lisp-load-command
 ;;;;;;  inferior-lisp-program inferior-lisp-filter-regexp) "inf-lisp"
-;;;;;;  "progmodes/inf-lisp.el" (18088 55117))
+;;;;;;  "progmodes/inf-lisp.el" (18426 23998))
 ;;; Generated autoloads from progmodes/inf-lisp.el
 
 (defvar inferior-lisp-filter-regexp "\\`\\s *\\(:\\(\\w\\|\\s_\\)\\)?\\s *\\'" "\
@@ -15785,10 +15440,10 @@ of `inferior-lisp-program').  Runs the hooks from
 
 ;;;***
 \f
-;;;### (autoloads (Info-speedbar-browser Info-goto-emacs-key-command-node
+;;;### (autoloads (Info-bookmark-jump Info-speedbar-browser Info-goto-emacs-key-command-node
 ;;;;;;  Info-goto-emacs-command-node Info-mode info-apropos Info-index
 ;;;;;;  Info-directory Info-on-current-buffer info-standalone info-emacs-manual
-;;;;;;  info info-other-window) "info" "info.el" (18132 64031))
+;;;;;;  info info-other-window) "info" "info.el" (18409 42640))
 ;;; Generated autoloads from info.el
 
 (autoload 'info-other-window "info" "\
@@ -15950,11 +15605,16 @@ This will add a speedbar major display mode.
 
 \(fn)" t nil)
 
+(autoload 'Info-bookmark-jump "info" "\
+Not documented
+
+\(fn BMK)" nil nil)
+
 ;;;***
 \f
 ;;;### (autoloads (info-complete-file info-complete-symbol info-lookup-file
 ;;;;;;  info-lookup-symbol info-lookup-reset) "info-look" "info-look.el"
-;;;;;;  (18120 34749))
+;;;;;;  (18375 33070))
 ;;; Generated autoloads from info-look.el
 
 (autoload 'info-lookup-reset "info-look" "\
@@ -16002,7 +15662,7 @@ Perform completion on file preceding point.
 ;;;***
 \f
 ;;;### (autoloads (info-xref-check-all-custom info-xref-check-all
-;;;;;;  info-xref-check) "info-xref" "info-xref.el" (18088 55085))
+;;;;;;  info-xref-check) "info-xref" "info-xref.el" (18335 54513))
 ;;; Generated autoloads from info-xref.el
 
 (autoload 'info-xref-check "info-xref" "\
@@ -16029,7 +15689,7 @@ quite a while.
 ;;;***
 \f
 ;;;### (autoloads (batch-info-validate Info-validate Info-split Info-tagify)
-;;;;;;  "informat" "informat.el" (18088 55086))
+;;;;;;  "informat" "informat.el" (18335 54513))
 ;;; Generated autoloads from informat.el
 
 (autoload 'Info-tagify "informat" "\
@@ -16069,7 +15729,7 @@ For example, invoke \"emacs -batch -f batch-info-validate $info/ ~/*.info\"
 ;;;***
 \f
 ;;;### (autoloads (isearch-buffers-minor-mode) "isearch-multi" "isearch-multi.el"
-;;;;;;  (18210 13714))
+;;;;;;  (18335 54513))
 ;;; Generated autoloads from isearch-multi.el
 
 (defvar isearch-buffers-current-buffer nil "\
@@ -16080,15 +15740,15 @@ The value is nil when the search still is in the initial buffer.")
 Function to call to get the next buffer to search.
 
 When this variable is set to a function that returns a buffer, then
-after typing another C-s or C-r at a failing search, the search goes
+after typing another \\[isearch-forward] or \\[isearch-backward] at a failing search, the search goes
 to the next buffer in the series and continues searching for the
 next occurrence.
 
 The first argument of this function is the current buffer where the
 search is currently searching.  It defines the base buffer relative to
 which this function should find the next buffer.  When the isearch
-direction is backward (when isearch-forward is nil), this function
-should return the previous buffer to search. If the second argument of
+direction is backward (when `isearch-forward' is nil), this function
+should return the previous buffer to search.  If the second argument of
 this function WRAP is non-nil, then it should return the first buffer
 in the series; and for the backward search, it should return the last
 buffer in the series.")
@@ -16103,7 +15763,7 @@ With arg, turn isearch-buffers minor mode on if arg is positive, off otherwise.
 \f
 ;;;### (autoloads (isearch-process-search-multibyte-characters isearch-toggle-input-method
 ;;;;;;  isearch-toggle-specified-input-method) "isearch-x" "international/isearch-x.el"
-;;;;;;  (18088 55107))
+;;;;;;  (18335 54534))
 ;;; Generated autoloads from international/isearch-x.el
 
 (autoload 'isearch-toggle-specified-input-method "isearch-x" "\
@@ -16123,8 +15783,8 @@ Not documented
 
 ;;;***
 \f
-;;;### (autoloads (isearchb-activate) "isearchb" "isearchb.el" (18088
-;;;;;;  55086))
+;;;### (autoloads (isearchb-activate) "isearchb" "isearchb.el" (18335
+;;;;;;  54514))
 ;;; Generated autoloads from isearchb.el
 
 (autoload 'isearchb-activate "isearchb" "\
@@ -16140,68 +15800,75 @@ accessed via isearchb.
 ;;;### (autoloads (iso-cvt-define-menu iso-cvt-write-only iso-cvt-read-only
 ;;;;;;  iso-sgml2iso iso-iso2sgml iso-iso2duden iso-iso2gtex iso-gtex2iso
 ;;;;;;  iso-tex2iso iso-iso2tex iso-german iso-spanish) "iso-cvt"
-;;;;;;  "international/iso-cvt.el" (18088 55107))
+;;;;;;  "international/iso-cvt.el" (18335 54534))
 ;;; Generated autoloads from international/iso-cvt.el
 
 (autoload 'iso-spanish "iso-cvt" "\
 Translate net conventions for Spanish to ISO 8859-1.
-The region between FROM and TO is translated using the table TRANS-TAB.
+Translate the region between FROM and TO using the table
+`iso-spanish-trans-tab'.
 Optional arg BUFFER is ignored (for use in `format-alist').
 
 \(fn FROM TO &optional BUFFER)" t nil)
 
 (autoload 'iso-german "iso-cvt" "\
 Translate net conventions for German to ISO 8859-1.
-The region between FROM and TO is translated using the table TRANS-TAB.
+Translate the region FROM and TO using the table
+`iso-german-trans-tab'.
 Optional arg BUFFER is ignored (for use in `format-alist').
 
 \(fn FROM TO &optional BUFFER)" t nil)
 
 (autoload 'iso-iso2tex "iso-cvt" "\
 Translate ISO 8859-1 characters to TeX sequences.
-The region between FROM and TO is translated using the table TRANS-TAB.
+Translate the region between FROM and TO using the table
+`iso-iso2tex-trans-tab'.
 Optional arg BUFFER is ignored (for use in `format-alist').
 
 \(fn FROM TO &optional BUFFER)" t nil)
 
 (autoload 'iso-tex2iso "iso-cvt" "\
 Translate TeX sequences to ISO 8859-1 characters.
-The region between FROM and TO is translated using the table TRANS-TAB.
+Translate the region between FROM and TO using the table
+`iso-tex2iso-trans-tab'.
 Optional arg BUFFER is ignored (for use in `format-alist').
 
 \(fn FROM TO &optional BUFFER)" t nil)
 
 (autoload 'iso-gtex2iso "iso-cvt" "\
 Translate German TeX sequences to ISO 8859-1 characters.
-The region between FROM and TO is translated using the table TRANS-TAB.
+Translate the region between FROM and TO using the table
+`iso-gtex2iso-trans-tab'.
 Optional arg BUFFER is ignored (for use in `format-alist').
 
 \(fn FROM TO &optional BUFFER)" t nil)
 
 (autoload 'iso-iso2gtex "iso-cvt" "\
 Translate ISO 8859-1 characters to German TeX sequences.
-The region between FROM and TO is translated using the table TRANS-TAB.
+Translate the region between FROM and TO using the table
+`iso-iso2gtex-trans-tab'.
 Optional arg BUFFER is ignored (for use in `format-alist').
 
 \(fn FROM TO &optional BUFFER)" t nil)
 
 (autoload 'iso-iso2duden "iso-cvt" "\
-Translate ISO 8859-1 characters to German TeX sequences.
-The region between FROM and TO is translated using the table TRANS-TAB.
+Translate ISO 8859-1 characters to Duden sequences.
+Translate the region between FROM and TO using the table
+`iso-iso2duden-trans-tab'.
 Optional arg BUFFER is ignored (for use in `format-alist').
 
 \(fn FROM TO &optional BUFFER)" t nil)
 
 (autoload 'iso-iso2sgml "iso-cvt" "\
 Translate ISO 8859-1 characters in the region to SGML entities.
-The entities used are from \"ISO 8879:1986//ENTITIES Added Latin 1//EN\".
+Use entities from \"ISO 8879:1986//ENTITIES Added Latin 1//EN\".
 Optional arg BUFFER is ignored (for use in `format-alist').
 
 \(fn FROM TO &optional BUFFER)" t nil)
 
 (autoload 'iso-sgml2iso "iso-cvt" "\
 Translate SGML entities in the region to ISO 8859-1 characters.
-The entities used are from \"ISO 8879:1986//ENTITIES Added Latin 1//EN\".
+Use entities from \"ISO 8879:1986//ENTITIES Added Latin 1//EN\".
 Optional arg BUFFER is ignored (for use in `format-alist').
 
 \(fn FROM TO &optional BUFFER)" t nil)
@@ -16224,7 +15891,7 @@ Add submenus to the File menu, to convert to and from various formats.
 ;;;***
 \f
 ;;;### (autoloads nil "iso-transl" "international/iso-transl.el"
-;;;;;;  (18088 55107))
+;;;;;;  (18335 54534))
 ;;; Generated autoloads from international/iso-transl.el
  (or key-translation-map (setq key-translation-map (make-sparse-keymap)))
  (define-key key-translation-map "\C-x8" 'iso-transl-ctl-x-8-map)
@@ -16237,7 +15904,7 @@ Add submenus to the File menu, to convert to and from various formats.
 ;;;;;;  ispell-region ispell-change-dictionary ispell-kill-ispell
 ;;;;;;  ispell-help ispell-pdict-save ispell-word ispell-local-dictionary-alist
 ;;;;;;  ispell-personal-dictionary) "ispell" "textmodes/ispell.el"
-;;;;;;  (18200 51267))
+;;;;;;  (18369 28764))
 ;;; Generated autoloads from textmodes/ispell.el
 (put 'ispell-check-comments 'safe-local-variable (lambda (a) (memq a '(nil t exclusive))))
 
@@ -16362,6 +16029,7 @@ for skipping in latex mode.")
 Same format as `ispell-skip-region-alist'
 Note - substrings of other matches must come last
  (e.g. \"<[tT][tT]/\" and \"<[^ \\t\\n>]\").")
+(put 'ispell-local-pdict 'safe-local-variable 'stringp)
  (define-key esc-map "$" 'ispell-word)
 
 (autoload 'ispell-word "ispell" "\
@@ -16528,8 +16196,8 @@ You can bind this to the key C-c i in GNUS or mail by adding to
 
 ;;;***
 \f
-;;;### (autoloads (iswitchb-mode) "iswitchb" "iswitchb.el" (18120
-;;;;;;  34749))
+;;;### (autoloads (iswitchb-mode) "iswitchb" "iswitchb.el" (18388
+;;;;;;  34730))
 ;;; Generated autoloads from iswitchb.el
 
 (defvar iswitchb-mode nil "\
@@ -16554,7 +16222,7 @@ This mode enables switching between buffers using substrings.  See
 ;;;### (autoloads (read-hiragana-string japanese-zenkaku-region japanese-hankaku-region
 ;;;;;;  japanese-hiragana-region japanese-katakana-region japanese-zenkaku
 ;;;;;;  japanese-hankaku japanese-hiragana japanese-katakana setup-japanese-environment-internal)
-;;;;;;  "japan-util" "language/japan-util.el" (18088 55109))
+;;;;;;  "japan-util" "language/japan-util.el" (18362 47073))
 ;;; Generated autoloads from language/japan-util.el
 
 (autoload 'setup-japanese-environment-internal "japan-util" "\
@@ -16632,7 +16300,7 @@ If non-nil, second arg INITIAL-INPUT is a string to insert before reading.
 ;;;***
 \f
 ;;;### (autoloads (jka-compr-uninstall jka-compr-handler) "jka-compr"
-;;;;;;  "jka-compr.el" (18088 55086))
+;;;;;;  "jka-compr.el" (18421 18853))
 ;;; Generated autoloads from jka-compr.el
 
 (defvar jka-compr-inhibit nil "\
@@ -16657,7 +16325,7 @@ by `jka-compr-installed'.
 \f
 ;;;### (autoloads (keypad-setup keypad-numlock-shifted-setup keypad-shifted-setup
 ;;;;;;  keypad-numlock-setup keypad-setup) "keypad" "emulation/keypad.el"
-;;;;;;  (18088 55097))
+;;;;;;  (18341 12907))
 ;;; Generated autoloads from emulation/keypad.el
 
 (defvar keypad-setup nil "\
@@ -16713,7 +16381,7 @@ the decimal key on the keypad is mapped to DECIMAL instead of `.'
 ;;;***
 \f
 ;;;### (autoloads (kinsoku) "kinsoku" "international/kinsoku.el"
-;;;;;;  (18088 55107))
+;;;;;;  (18335 54534))
 ;;; Generated autoloads from international/kinsoku.el
 
 (autoload 'kinsoku "kinsoku" "\
@@ -16734,8 +16402,8 @@ the context of text formatting.
 
 ;;;***
 \f
-;;;### (autoloads (kkc-region) "kkc" "international/kkc.el" (18088
-;;;;;;  55107))
+;;;### (autoloads (kkc-region) "kkc" "international/kkc.el" (18335
+;;;;;;  54534))
 ;;; Generated autoloads from international/kkc.el
 
 (defvar kkc-after-update-conversion-functions nil "\
@@ -16760,7 +16428,7 @@ and the return value is the length of the conversion.
 ;;;### (autoloads (kmacro-end-call-mouse kmacro-end-and-call-macro
 ;;;;;;  kmacro-end-or-call-macro kmacro-start-macro-or-insert-counter
 ;;;;;;  kmacro-call-macro kmacro-end-macro kmacro-start-macro) "kmacro"
-;;;;;;  "kmacro.el" (18088 55086))
+;;;;;;  "kmacro.el" (18335 54514))
 ;;; Generated autoloads from kmacro.el
  (global-set-key "\C-x(" 'kmacro-start-macro)
  (global-set-key "\C-x)" 'kmacro-end-macro)
@@ -16863,34 +16531,10 @@ If kbd macro currently being defined end it before activating it.
 
 \(fn EVENT)" t nil)
 
-;;;***
-\f
-;;;### (autoloads (kannada-post-read-conversion kannada-compose-string
-;;;;;;  kannada-compose-region) "knd-util" "language/knd-util.el"
-;;;;;;  (18088 55109))
-;;; Generated autoloads from language/knd-util.el
-
-(defconst kannada-consonant "[\x51f75-\x51fb9]")
-
-(autoload 'kannada-compose-region "knd-util" "\
-Not documented
-
-\(fn FROM TO)" t nil)
-
-(autoload 'kannada-compose-string "knd-util" "\
-Not documented
-
-\(fn STRING)" nil nil)
-
-(autoload 'kannada-post-read-conversion "knd-util" "\
-Not documented
-
-\(fn LEN)" nil nil)
-
 ;;;***
 \f
 ;;;### (autoloads (setup-korean-environment-internal) "korea-util"
-;;;;;;  "language/korea-util.el" (18088 55109))
+;;;;;;  "language/korea-util.el" (18335 54537))
 ;;; Generated autoloads from language/korea-util.el
 
 (defvar default-korean-keyboard (if (string-match "3" (or (getenv "HANGUL_KEYBOARD_TYPE") "")) "3" "") "\
@@ -16905,7 +16549,7 @@ Not documented
 ;;;***
 \f
 ;;;### (autoloads (lm lm-test-run) "landmark" "play/landmark.el"
-;;;;;;  (18202 4002))
+;;;;;;  (18335 54541))
 ;;; Generated autoloads from play/landmark.el
 
 (defalias 'landmark-repeat 'lm-test-run)
@@ -16937,10 +16581,9 @@ Use \\[describe-mode] for more info.
 
 ;;;***
 \f
-;;;### (autoloads (lao-compose-region lao-composition-function lao-post-read-conversion
-;;;;;;  lao-transcribe-roman-to-lao-string lao-transcribe-single-roman-syllable-to-lao
-;;;;;;  lao-compose-string) "lao-util" "language/lao-util.el" (18088
-;;;;;;  55109))
+;;;### (autoloads (lao-compose-region lao-composition-function lao-transcribe-roman-to-lao-string
+;;;;;;  lao-transcribe-single-roman-syllable-to-lao lao-compose-string)
+;;;;;;  "lao-util" "language/lao-util.el" (18370 13448))
 ;;; Generated autoloads from language/lao-util.el
 
 (autoload 'lao-compose-string "lao-util" "\
@@ -16965,20 +16608,10 @@ Transcribe Romanized Lao string STR to Lao character string.
 
 \(fn STR)" nil nil)
 
-(autoload 'lao-post-read-conversion "lao-util" "\
-Not documented
-
-\(fn LEN)" nil nil)
-
 (autoload 'lao-composition-function "lao-util" "\
-Compose Lao text in the region FROM and TO.
-The text matches the regular expression PATTERN.
-Optional 4th argument STRING, if non-nil, is a string containing text
-to compose.
-
-The return value is number of composed characters.
+Not documented
 
-\(fn FROM TO PATTERN &optional STRING)" nil nil)
+\(fn FROM TO FONT-OBJECT STRING)" nil nil)
 
 (autoload 'lao-compose-region "lao-util" "\
 Not documented
@@ -16989,7 +16622,7 @@ Not documented
 \f
 ;;;### (autoloads (latexenc-find-file-coding-system latexenc-coding-system-to-inputenc
 ;;;;;;  latexenc-inputenc-to-coding-system latex-inputenc-coding-alist)
-;;;;;;  "latexenc" "international/latexenc.el" (18088 55107))
+;;;;;;  "latexenc" "international/latexenc.el" (18335 54534))
 ;;; Generated autoloads from international/latexenc.el
 
 (defvar latex-inputenc-coding-alist '(("ansinew" . windows-1252) ("applemac" . mac-roman) ("ascii" . us-ascii) ("cp1250" . windows-1250) ("cp1252" . windows-1252) ("cp1257" . cp1257) ("cp437de" . cp437) ("cp437" . cp437) ("cp850" . cp850) ("cp852" . cp852) ("cp858" . cp858) ("cp865" . cp865) ("latin1" . iso-8859-1) ("latin2" . iso-8859-2) ("latin3" . iso-8859-3) ("latin4" . iso-8859-4) ("latin5" . iso-8859-5) ("latin9" . iso-8859-15) ("next" . next) ("utf8" . utf-8) ("utf8x" . utf-8)) "\
@@ -17021,7 +16654,7 @@ coding system names is determined from `latex-inputenc-coding-alist'.
 ;;;***
 \f
 ;;;### (autoloads (latin1-display-ucs-per-lynx latin1-display latin1-display)
-;;;;;;  "latin1-disp" "international/latin1-disp.el" (18120 34751))
+;;;;;;  "latin1-disp" "international/latin1-disp.el" (18420 22755))
 ;;; Generated autoloads from international/latin1-disp.el
 
 (defvar latin1-display nil "\
@@ -17046,9 +16679,7 @@ Set up Latin-1/ASCII display for the arguments character SETS.
 See option `latin1-display' for the method.  The members of the list
 must be in `latin1-display-sets'.  With no arguments, reset the
 display for all of `latin1-display-sets'. See also
-`latin1-display-setup'.  As well as iso-8859 characters, this treats
-some characters in the `mule-unicode-...' charsets if you don't have
-a Unicode font with which to display them.
+`latin1-display-setup'.
 
 \(fn &rest SETS)" nil nil)
 
@@ -17065,7 +16696,7 @@ use either \\[customize] or the function `latin1-display'.")
 ;;;***
 \f
 ;;;### (autoloads (ld-script-mode) "ld-script" "progmodes/ld-script.el"
-;;;;;;  (18088 55117))
+;;;;;;  (18335 54542))
 ;;; Generated autoloads from progmodes/ld-script.el
 
 (add-to-list 'auto-mode-alist '("\\.ld[si]?\\>" . ld-script-mode))
@@ -17080,7 +16711,7 @@ A major mode to edit GNU ld script files
 ;;;***
 \f
 ;;;### (autoloads (ledit-from-lisp-mode ledit-mode) "ledit" "ledit.el"
-;;;;;;  (18088 55086))
+;;;;;;  (18335 54514))
 ;;; Generated autoloads from ledit.el
 
 (defconst ledit-save-files t "\
@@ -17115,7 +16746,7 @@ Not documented
 
 ;;;***
 \f
-;;;### (autoloads (life) "life" "play/life.el" (18088 55114))
+;;;### (autoloads (life) "life" "play/life.el" (18335 54541))
 ;;; Generated autoloads from play/life.el
 
 (autoload 'life "life" "\
@@ -17128,8 +16759,45 @@ generations (this defaults to 1).
 
 ;;;***
 \f
-;;;### (autoloads (unload-feature) "loadhist" "loadhist.el" (18213
-;;;;;;  13926))
+;;;### (autoloads (global-linum-mode linum-mode linum-format) "linum"
+;;;;;;  "linum.el" (18369 20323))
+;;; Generated autoloads from linum.el
+
+(defvar linum-format 'dynamic "\
+Format used to display line numbers.
+Either a format string like \"%7d\", `dynamic' to adapt the width
+as needed, or a function that is called with a line number as its
+argument and should evaluate to a string to be shown on that line.
+See also `linum-before-numbering-hook'.")
+
+(custom-autoload 'linum-format "linum" t)
+
+(autoload 'linum-mode "linum" "\
+Toggle display of line numbers in the left margin.
+
+\(fn &optional ARG)" t nil)
+
+(defvar global-linum-mode nil "\
+Non-nil if Global-Linum mode is enabled.
+See the command `global-linum-mode' for a description of this minor mode.
+Setting this variable directly does not take effect;
+either customize it (see the info node `Easy Customization')
+or call the function `global-linum-mode'.")
+
+(custom-autoload 'global-linum-mode "linum" nil)
+
+(autoload 'global-linum-mode "linum" "\
+Toggle Linum mode in every possible buffer.
+With prefix ARG, turn Global-Linum mode on if and only if ARG is positive.
+Linum mode is enabled in all buffers where `linum-on' would do it.
+See `linum-mode' for more information on Linum mode.
+
+\(fn &optional ARG)" t nil)
+
+;;;***
+\f
+;;;### (autoloads (unload-feature) "loadhist" "loadhist.el" (18335
+;;;;;;  54514))
 ;;; Generated autoloads from loadhist.el
 
 (autoload 'unload-feature "loadhist" "\
@@ -17161,7 +16829,7 @@ something strange, such as redefining an Emacs function.
 ;;;***
 \f
 ;;;### (autoloads (locate-with-filter locate locate-ls-subdir-switches)
-;;;;;;  "locate" "locate.el" (18126 18420))
+;;;;;;  "locate" "locate.el" (18335 54514))
 ;;; Generated autoloads from locate.el
 
 (defvar locate-ls-subdir-switches "-al" "\
@@ -17212,29 +16880,34 @@ except that FILTER is not optional.
 
 ;;;***
 \f
-;;;### (autoloads (log-edit) "log-edit" "log-edit.el" (18120 34749))
+;;;### (autoloads (log-edit) "log-edit" "log-edit.el" (18428 27944))
 ;;; Generated autoloads from log-edit.el
 
 (autoload 'log-edit "log-edit" "\
 Setup a buffer to enter a log message.
 \\<log-edit-mode-map>The buffer will be put in `log-edit-mode'.
 If SETUP is non-nil, the buffer is then erased and `log-edit-hook' is run.
-Mark and point will be set around the entire contents of the
-buffer so that it is easy to kill the contents of the buffer with \\[kill-region].
+Mark and point will be set around the entire contents of the buffer so
+that it is easy to kill the contents of the buffer with \\[kill-region].
 Once you're done editing the message, pressing \\[log-edit-done] will call
 `log-edit-done' which will end up calling CALLBACK to do the actual commit.
-LISTFUN if non-nil is a function of no arguments returning the list of files
-  that are concerned by the current operation (using relative names).
+
+PARAMS if non-nil is an alist.  Possible keys and associated values:
+ `log-edit-listfun' -- function taking no arguments that returns the list of
+ files that are concerned by the current operation (using relative names);
+ `log-edit-diff-function' -- function taking no arguments that
+ displays a diff of the files concerned by the current operation.
+
 If BUFFER is non-nil `log-edit' will jump to that buffer, use it to edit the
-  log message and go back to the current buffer when done.  Otherwise, it
-  uses the current buffer.
+log message and go back to the current buffer when done.  Otherwise, it
+uses the current buffer.
 
-\(fn CALLBACK &optional SETUP LISTFUN BUFFER &rest IGNORE)" nil nil)
+\(fn CALLBACK &optional SETUP PARAMS BUFFER &rest IGNORE)" nil nil)
 
 ;;;***
 \f
-;;;### (autoloads (log-view-mode) "log-view" "log-view.el" (18202
-;;;;;;  3995))
+;;;### (autoloads (log-view-mode) "log-view" "log-view.el" (18428
+;;;;;;  27944))
 ;;; Generated autoloads from log-view.el
 
 (autoload 'log-view-mode "log-view" "\
@@ -17244,8 +16917,8 @@ Major mode for browsing CVS log output.
 
 ;;;***
 \f
-;;;### (autoloads (longlines-mode) "longlines" "longlines.el" (18214
-;;;;;;  4763))
+;;;### (autoloads (longlines-mode) "longlines" "longlines.el" (18375
+;;;;;;  33070))
 ;;; Generated autoloads from longlines.el
 
 (autoload 'longlines-mode "longlines" "\
@@ -17266,15 +16939,15 @@ are indicated with a symbol.
 ;;;***
 \f
 ;;;### (autoloads (print-region lpr-region print-buffer lpr-buffer
-;;;;;;  lpr-command lpr-switches printer-name) "lpr" "lpr.el" (18088
-;;;;;;  55086))
+;;;;;;  lpr-command lpr-switches printer-name) "lpr" "lpr.el" (18335
+;;;;;;  54514))
 ;;; Generated autoloads from lpr.el
 
 (defvar lpr-windows-system (memq system-type '(emx win32 w32 mswindows ms-dos windows-nt)))
 
-(defvar lpr-lp-system (memq system-type '(usg-unix-v dgux hpux irix)))
+(defvar lpr-lp-system (memq system-type '(usg-unix-v hpux irix)))
 
-(defvar printer-name (and lpr-windows-system "PRN") "\
+(defvar printer-name (and (memq system-type '(emx ms-dos)) "PRN") "\
 *The name of a local printer to which data is sent for printing.
 \(Note that PostScript files are sent to `ps-printer-name', which see.)
 
@@ -17361,7 +17034,7 @@ for further customization of the printer command.
 ;;;***
 \f
 ;;;### (autoloads (ls-lisp-support-shell-wildcards) "ls-lisp" "ls-lisp.el"
-;;;;;;  (18088 55086))
+;;;;;;  (18423 51563))
 ;;; Generated autoloads from ls-lisp.el
 
 (defvar ls-lisp-support-shell-wildcards t "\
@@ -17372,22 +17045,21 @@ Otherwise they are treated as Emacs regexps (for backward compatibility).")
 
 ;;;***
 \f
-;;;### (autoloads (phases-of-moon) "lunar" "calendar/lunar.el" (18088
-;;;;;;  55094))
+;;;### (autoloads (phases-of-moon) "lunar" "calendar/lunar.el" (18428
+;;;;;;  27944))
 ;;; Generated autoloads from calendar/lunar.el
 
 (autoload 'phases-of-moon "lunar" "\
 Display the quarters of the moon for last month, this month, and next month.
-If called with an optional prefix argument, prompts for month and year.
-
+If called with an optional prefix argument ARG, prompts for month and year.
 This function is suitable for execution in a .emacs file.
 
 \(fn &optional ARG)" t nil)
 
 ;;;***
 \f
-;;;### (autoloads (m4-mode) "m4-mode" "progmodes/m4-mode.el" (18088
-;;;;;;  55117))
+;;;### (autoloads (m4-mode) "m4-mode" "progmodes/m4-mode.el" (18335
+;;;;;;  54542))
 ;;; Generated autoloads from progmodes/m4-mode.el
 
 (autoload 'm4-mode "m4-mode" "\
@@ -17399,7 +17071,7 @@ A major mode to edit m4 macro files.
 ;;;***
 \f
 ;;;### (autoloads (macroexpand-all) "macroexp" "emacs-lisp/macroexp.el"
-;;;;;;  (18088 55096))
+;;;;;;  (18335 54522))
 ;;; Generated autoloads from emacs-lisp/macroexp.el
 
 (autoload 'macroexpand-all "macroexp" "\
@@ -17413,7 +17085,7 @@ definitions to shadow the loaded ones for use in file byte-compilation.
 ;;;***
 \f
 ;;;### (autoloads (apply-macro-to-region-lines kbd-macro-query insert-kbd-macro
-;;;;;;  name-last-kbd-macro) "macros" "macros.el" (18088 55086))
+;;;;;;  name-last-kbd-macro) "macros" "macros.el" (18335 54514))
 ;;; Generated autoloads from macros.el
 
 (autoload 'name-last-kbd-macro "macros" "\
@@ -17502,7 +17174,7 @@ and then select the region of un-tablified names and use
 ;;;***
 \f
 ;;;### (autoloads (what-domain mail-extract-address-components) "mail-extr"
-;;;;;;  "mail/mail-extr.el" (18088 55109))
+;;;;;;  "mail/mail-extr.el" (18369 28759))
 ;;; Generated autoloads from mail/mail-extr.el
 
 (autoload 'mail-extract-address-components "mail-extr" "\
@@ -17534,7 +17206,7 @@ Convert mail domain DOMAIN to the country it corresponds to.
 \f
 ;;;### (autoloads (mail-hist-put-headers-into-history mail-hist-keep-history
 ;;;;;;  mail-hist-enable mail-hist-define-keys) "mail-hist" "mail/mail-hist.el"
-;;;;;;  (18088 55110))
+;;;;;;  (18335 54537))
 ;;; Generated autoloads from mail/mail-hist.el
 
 (autoload 'mail-hist-define-keys "mail-hist" "\
@@ -17565,8 +17237,8 @@ This function normally would be called when the message is sent.
 \f
 ;;;### (autoloads (mail-fetch-field mail-unquote-printable-region
 ;;;;;;  mail-unquote-printable mail-quote-printable mail-file-babyl-p
-;;;;;;  mail-use-rfc822) "mail-utils" "mail/mail-utils.el" (18088
-;;;;;;  55110))
+;;;;;;  mail-use-rfc822) "mail-utils" "mail/mail-utils.el" (18335
+;;;;;;  54537))
 ;;; Generated autoloads from mail/mail-utils.el
 
 (defvar mail-use-rfc822 nil "\
@@ -17617,10 +17289,25 @@ If 4th arg LIST is non-nil, return a list of all such fields.
 
 ;;;***
 \f
-;;;### (autoloads (define-mail-abbrev build-mail-abbrevs mail-abbrevs-setup)
-;;;;;;  "mailabbrev" "mail/mailabbrev.el" (18120 34751))
+;;;### (autoloads (define-mail-abbrev build-mail-abbrevs mail-abbrevs-setup
+;;;;;;  mail-abbrevs-mode) "mailabbrev" "mail/mailabbrev.el" (18335
+;;;;;;  54537))
 ;;; Generated autoloads from mail/mailabbrev.el
 
+(defvar mail-abbrevs-mode nil "\
+Non-nil if Mail-Abbrevs mode is enabled.
+See the command `mail-abbrevs-mode' for a description of this minor mode.
+Setting this variable directly does not take effect;
+either customize it (see the info node `Easy Customization')
+or call the function `mail-abbrevs-mode'.")
+
+(custom-autoload 'mail-abbrevs-mode "mailabbrev" nil)
+
+(autoload 'mail-abbrevs-mode "mailabbrev" "\
+Non-nil means expand mail aliases as abbrevs, in certain message headers.
+
+\(fn &optional ARG)" t nil)
+
 (autoload 'mail-abbrevs-setup "mailabbrev" "\
 Initialize use of the `mailabbrev' package.
 
@@ -17641,8 +17328,8 @@ If DEFINITION contains multiple addresses, separate them with commas.
 ;;;***
 \f
 ;;;### (autoloads (mail-complete define-mail-alias expand-mail-aliases
-;;;;;;  mail-complete-style) "mailalias" "mail/mailalias.el" (18088
-;;;;;;  55110))
+;;;;;;  mail-complete-style) "mailalias" "mail/mailalias.el" (18335
+;;;;;;  54537))
 ;;; Generated autoloads from mail/mailalias.el
 
 (defvar mail-complete-style 'angles "\
@@ -17688,7 +17375,7 @@ current header, calls `mail-complete-function' and passes prefix arg if any.
 ;;;***
 \f
 ;;;### (autoloads (mailclient-send-it) "mailclient" "mail/mailclient.el"
-;;;;;;  (18088 55110))
+;;;;;;  (18335 54537))
 ;;; Generated autoloads from mail/mailclient.el
 
 (autoload 'mailclient-send-it "mailclient" "\
@@ -17702,7 +17389,7 @@ The mail client is taken to be the handler of mailto URLs.
 \f
 ;;;### (autoloads (makefile-imake-mode makefile-bsdmake-mode makefile-makepp-mode
 ;;;;;;  makefile-gmake-mode makefile-automake-mode makefile-mode)
-;;;;;;  "make-mode" "progmodes/make-mode.el" (18088 55117))
+;;;;;;  "make-mode" "progmodes/make-mode.el" (18389 2003))
 ;;; Generated autoloads from progmodes/make-mode.el
 
 (autoload 'makefile-mode "make-mode" "\
@@ -17819,8 +17506,8 @@ An adapted `makefile-mode' that knows about imake.
 
 ;;;***
 \f
-;;;### (autoloads (make-command-summary) "makesum" "makesum.el" (18088
-;;;;;;  55086))
+;;;### (autoloads (make-command-summary) "makesum" "makesum.el" (18335
+;;;;;;  54514))
 ;;; Generated autoloads from makesum.el
 
 (autoload 'make-command-summary "makesum" "\
@@ -17831,7 +17518,7 @@ Previous contents of that buffer are killed first.
 
 ;;;***
 \f
-;;;### (autoloads (man-follow man) "man" "man.el" (18153 31207))
+;;;### (autoloads (man-follow man) "man" "man.el" (18335 54514))
 ;;; Generated autoloads from man.el
 
 (defalias 'manual-entry 'man)
@@ -17858,7 +17545,7 @@ Get a Un*x manual page of the item under point and put it in a buffer.
 
 ;;;***
 \f
-;;;### (autoloads (master-mode) "master" "master.el" (18088 55086))
+;;;### (autoloads (master-mode) "master" "master.el" (18335 54514))
 ;;; Generated autoloads from master.el
 
 (autoload 'master-mode "master" "\
@@ -17881,7 +17568,7 @@ yourself the value of `master-of' by calling `master-show-slave'.
 ;;;***
 \f
 ;;;### (autoloads (minibuffer-indicate-depth-mode) "mb-depth" "mb-depth.el"
-;;;;;;  (18187 36839))
+;;;;;;  (18335 54514))
 ;;; Generated autoloads from mb-depth.el
 
 (defvar minibuffer-indicate-depth-mode nil "\
@@ -17906,30 +17593,11 @@ Returns non-nil if the new state is enabled.
 
 ;;;***
 \f
-;;;### (autoloads (menu-bar-mode) "menu-bar" "menu-bar.el" (18211
-;;;;;;  32385))
+;;;### (autoloads nil "menu-bar" "menu-bar.el" (18407 31954))
 ;;; Generated autoloads from menu-bar.el
 
 (put 'menu-bar-mode 'standard-value '(t))
 
-(defvar menu-bar-mode nil "\
-Non-nil if Menu-Bar mode is enabled.
-See the command `menu-bar-mode' for a description of this minor mode.
-Setting this variable directly does not take effect;
-either customize it (see the info node `Easy Customization')
-or call the function `menu-bar-mode'.")
-
-(custom-autoload 'menu-bar-mode "menu-bar" nil)
-
-(autoload 'menu-bar-mode "menu-bar" "\
-Toggle display of a menu bar on each frame.
-This command applies to all frames that exist and frames to be
-created in the future.
-With a numeric argument, if the argument is positive,
-turn on menu bars; otherwise, turn off menu bars.
-
-\(fn &optional ARG)" t nil)
-
 ;;;***
 \f
 ;;;### (autoloads (message-unbold-region message-bold-region message-news-other-frame
@@ -17938,7 +17606,7 @@ turn on menu bars; otherwise, turn off menu bars.
 ;;;;;;  message-forward-make-body message-forward message-recover
 ;;;;;;  message-supersede message-cancel-news message-followup message-wide-reply
 ;;;;;;  message-reply message-news message-mail message-mode) "message"
-;;;;;;  "gnus/message.el" (18212 46007))
+;;;;;;  "gnus/message.el" (18416 37038))
 ;;; Generated autoloads from gnus/message.el
 
 (define-mail-user-agent 'message-user-agent 'message-mail 'message-send-and-exit 'message-kill-buffer 'message-send-hook)
@@ -18104,7 +17772,7 @@ which specify the range to operate on.
 ;;;***
 \f
 ;;;### (autoloads (metapost-mode metafont-mode) "meta-mode" "progmodes/meta-mode.el"
-;;;;;;  (18161 20996))
+;;;;;;  (18335 54543))
 ;;; Generated autoloads from progmodes/meta-mode.el
 
 (autoload 'metafont-mode "meta-mode" "\
@@ -18131,7 +17799,7 @@ Turning on MetaPost mode calls the value of the variable
 \f
 ;;;### (autoloads (metamail-region metamail-buffer metamail-interpret-body
 ;;;;;;  metamail-interpret-header) "metamail" "mail/metamail.el"
-;;;;;;  (18088 55110))
+;;;;;;  (18335 54537))
 ;;; Generated autoloads from mail/metamail.el
 
 (autoload 'metamail-interpret-header "metamail" "\
@@ -18176,7 +17844,7 @@ redisplayed as output is inserted.
 \f
 ;;;### (autoloads (mh-fully-kill-draft mh-send-letter mh-user-agent-compose
 ;;;;;;  mh-smail-batch mh-smail-other-window mh-smail) "mh-comp"
-;;;;;;  "mh-e/mh-comp.el" (18128 32656))
+;;;;;;  "mh-e/mh-comp.el" (18368 43878))
 ;;; Generated autoloads from mh-e/mh-comp.el
 
 (autoload 'mh-smail "mh-comp" "\
@@ -18263,7 +17931,7 @@ delete the draft message.
 
 ;;;***
 \f
-;;;### (autoloads (mh-version) "mh-e" "mh-e/mh-e.el" (18152 13227))
+;;;### (autoloads (mh-version) "mh-e" "mh-e/mh-e.el" (18399 37840))
 ;;; Generated autoloads from mh-e/mh-e.el
 
 (put 'mh-progs 'risky-local-variable t)
@@ -18280,7 +17948,7 @@ Display version information about MH-E and the MH mail handling system.
 ;;;***
 \f
 ;;;### (autoloads (mh-folder-mode mh-nmail mh-rmail) "mh-folder"
-;;;;;;  "mh-e/mh-folder.el" (18123 35153))
+;;;;;;  "mh-e/mh-folder.el" (18335 54538))
 ;;; Generated autoloads from mh-e/mh-folder.el
 
 (autoload 'mh-rmail "mh-folder" "\
@@ -18362,7 +18030,7 @@ perform the operation on all messages in that region.
 ;;;***
 \f
 ;;;### (autoloads (midnight-delay-set clean-buffer-list) "midnight"
-;;;;;;  "midnight.el" (18088 55086))
+;;;;;;  "midnight.el" (18335 54515))
 ;;; Generated autoloads from midnight.el
 
 (autoload 'clean-buffer-list "midnight" "\
@@ -18389,7 +18057,7 @@ to its second argument TM.
 ;;;***
 \f
 ;;;### (autoloads (minibuffer-electric-default-mode) "minibuf-eldef"
-;;;;;;  "minibuf-eldef.el" (18088 55087))
+;;;;;;  "minibuf-eldef.el" (18335 54515))
 ;;; Generated autoloads from minibuf-eldef.el
 
 (defvar minibuffer-electric-default-mode nil "\
@@ -18417,7 +18085,7 @@ Returns non-nil if the new state is enabled.
 ;;;***
 \f
 ;;;### (autoloads (mixal-mode) "mixal-mode" "progmodes/mixal-mode.el"
-;;;;;;  (18088 55117))
+;;;;;;  (18335 54543))
 ;;; Generated autoloads from progmodes/mixal-mode.el
 
 (autoload 'mixal-mode "mixal-mode" "\
@@ -18428,34 +18096,10 @@ Major mode for the mixal asm language.
 
 (add-to-list 'auto-mode-alist '("\\.mixal\\'" . mixal-mode))
 
-;;;***
-\f
-;;;### (autoloads (malayalam-composition-function malayalam-post-read-conversion
-;;;;;;  malayalam-compose-region) "mlm-util" "language/mlm-util.el"
-;;;;;;  (18088 55109))
-;;; Generated autoloads from language/mlm-util.el
-
-(autoload 'malayalam-compose-region "mlm-util" "\
-Not documented
-
-\(fn FROM TO)" t nil)
-
-(autoload 'malayalam-post-read-conversion "mlm-util" "\
-Not documented
-
-\(fn LEN)" nil nil)
-
-(autoload 'malayalam-composition-function "mlm-util" "\
-Compose Malayalam characters in REGION, or STRING if specified.
-Assume that the REGION or STRING must fully match the composable
-PATTERN regexp.
-
-\(fn FROM TO PATTERN &optional STRING)" nil nil)
-
 ;;;***
 \f
 ;;;### (autoloads (mm-inline-external-body mm-extern-cache-contents)
-;;;;;;  "mm-extern" "gnus/mm-extern.el" (18088 55104))
+;;;;;;  "mm-extern" "gnus/mm-extern.el" (18335 54533))
 ;;; Generated autoloads from gnus/mm-extern.el
 
 (autoload 'mm-extern-cache-contents "mm-extern" "\
@@ -18474,7 +18118,7 @@ If NO-DISPLAY is nil, display it. Otherwise, do nothing after replacing.
 ;;;***
 \f
 ;;;### (autoloads (mm-inline-partial) "mm-partial" "gnus/mm-partial.el"
-;;;;;;  (18212 46007))
+;;;;;;  (18335 54533))
 ;;; Generated autoloads from gnus/mm-partial.el
 
 (autoload 'mm-inline-partial "mm-partial" "\
@@ -18488,7 +18132,7 @@ If NO-DISPLAY is nil, display it. Otherwise, do nothing after replacing.
 ;;;***
 \f
 ;;;### (autoloads (mm-url-insert-file-contents-external mm-url-insert-file-contents)
-;;;;;;  "mm-url" "gnus/mm-url.el" (18212 46007))
+;;;;;;  "mm-url" "gnus/mm-url.el" (18335 54533))
 ;;; Generated autoloads from gnus/mm-url.el
 
 (autoload 'mm-url-insert-file-contents "mm-url" "\
@@ -18505,7 +18149,7 @@ Insert file contents of URL using `mm-url-program'.
 ;;;***
 \f
 ;;;### (autoloads (mm-uu-dissect-text-parts mm-uu-dissect) "mm-uu"
-;;;;;;  "gnus/mm-uu.el" (18212 46007))
+;;;;;;  "gnus/mm-uu.el" (18392 10529))
 ;;; Generated autoloads from gnus/mm-uu.el
 
 (autoload 'mm-uu-dissect "mm-uu" "\
@@ -18525,7 +18169,7 @@ Assume text has been decoded if DECODED is non-nil.
 ;;;***
 \f
 ;;;### (autoloads (mml1991-sign mml1991-encrypt) "mml1991" "gnus/mml1991.el"
-;;;;;;  (18212 46007))
+;;;;;;  (18335 54533))
 ;;; Generated autoloads from gnus/mml1991.el
 
 (autoload 'mml1991-encrypt "mml1991" "\
@@ -18542,7 +18186,7 @@ Not documented
 \f
 ;;;### (autoloads (mml2015-self-encrypt mml2015-sign mml2015-encrypt
 ;;;;;;  mml2015-verify-test mml2015-verify mml2015-decrypt-test mml2015-decrypt)
-;;;;;;  "mml2015" "gnus/mml2015.el" (18212 46007))
+;;;;;;  "mml2015" "gnus/mml2015.el" (18414 53320))
 ;;; Generated autoloads from gnus/mml2015.el
 
 (autoload 'mml2015-decrypt "mml2015" "\
@@ -18583,7 +18227,7 @@ Not documented
 ;;;***
 \f
 ;;;### (autoloads (modula-2-mode) "modula2" "progmodes/modula2.el"
-;;;;;;  (18130 62049))
+;;;;;;  (18154 63989))
 ;;; Generated autoloads from progmodes/modula2.el
 
 (autoload 'modula-2-mode "modula2" "\
@@ -18615,7 +18259,7 @@ followed by the first character of the construct.
 ;;;***
 \f
 ;;;### (autoloads (unmorse-region morse-region) "morse" "play/morse.el"
-;;;;;;  (18088 55114))
+;;;;;;  (18335 54541))
 ;;; Generated autoloads from play/morse.el
 
 (autoload 'morse-region "morse" "\
@@ -18630,8 +18274,8 @@ Convert morse coded text in region to ordinary ASCII text.
 
 ;;;***
 \f
-;;;### (autoloads (mouse-sel-mode) "mouse-sel" "mouse-sel.el" (18088
-;;;;;;  55087))
+;;;### (autoloads (mouse-sel-mode) "mouse-sel" "mouse-sel.el" (18335
+;;;;;;  54515))
 ;;; Generated autoloads from mouse-sel.el
 
 (defvar mouse-sel-mode nil "\
@@ -18683,7 +18327,7 @@ primary selection and region.
 
 ;;;***
 \f
-;;;### (autoloads (mpuz) "mpuz" "play/mpuz.el" (18088 55114))
+;;;### (autoloads (mpuz) "mpuz" "play/mpuz.el" (18335 54541))
 ;;; Generated autoloads from play/mpuz.el
 
 (autoload 'mpuz "mpuz" "\
@@ -18693,7 +18337,7 @@ Multiplication puzzle with GNU Emacs.
 
 ;;;***
 \f
-;;;### (autoloads (msb-mode) "msb" "msb.el" (18211 32385))
+;;;### (autoloads (msb-mode) "msb" "msb.el" (18335 54515))
 ;;; Generated autoloads from msb.el
 
 (defvar msb-mode nil "\
@@ -18715,57 +18359,17 @@ different buffer menu using the function `msb'.
 
 ;;;***
 \f
-;;;### (autoloads (mule-diag list-input-methods list-fontsets describe-fontset
-;;;;;;  describe-font list-coding-categories list-coding-systems
-;;;;;;  describe-current-coding-system describe-current-coding-system-briefly
+;;;### (autoloads (unicode-data unicodedata-file mule-diag list-input-methods
+;;;;;;  list-fontsets describe-fontset describe-font list-coding-categories
+;;;;;;  list-coding-systems describe-current-coding-system describe-current-coding-system-briefly
 ;;;;;;  describe-coding-system describe-character-set list-charset-chars
 ;;;;;;  read-charset list-character-sets) "mule-diag" "international/mule-diag.el"
-;;;;;;  (18173 8195))
+;;;;;;  (18343 28560))
 ;;; Generated autoloads from international/mule-diag.el
 
-(defvar non-iso-charset-alist `((mac-roman (ascii latin-iso8859-1 mule-unicode-2500-33ff mule-unicode-0100-24ff mule-unicode-e000-ffff) mac-roman-decoder ((0 255))) (viscii (ascii vietnamese-viscii-lower vietnamese-viscii-upper) viet-viscii-nonascii-translation-table ((0 255))) (vietnamese-tcvn (ascii vietnamese-viscii-lower vietnamese-viscii-upper) viet-tcvn-nonascii-translation-table ((0 255))) (koi8-r (ascii cyrillic-iso8859-5) cyrillic-koi8-r-nonascii-translation-table ((32 255))) (alternativnyj (ascii cyrillic-iso8859-5) cyrillic-alternativnyj-nonascii-translation-table ((32 255))) (koi8-u (ascii cyrillic-iso8859-5 mule-unicode-0100-24ff) cyrillic-koi8-u-nonascii-translation-table ((32 255))) (big5 (ascii chinese-big5-1 chinese-big5-2) decode-big5-char ((32 127) ((161 254) 64 126 161 254))) (sjis (ascii katakana-jisx0201 japanese-jisx0208) decode-sjis-char ((32 127 161 223) ((129 159 224 239) 64 126 128 252)))) "\
-Alist of charset names vs the corresponding information.
-This is mis-named for historical reasons.  The charsets are actually
-non-built-in ones.  They correspond to Emacs coding systems, not Emacs
-charsets, i.e. what Emacs can read (or write) by mapping to (or
-from) Emacs internal charsets that typically correspond to a limited
-set of ISO charsets.
-
-Each element has the following format:
-  (CHARSET CHARSET-LIST TRANSLATION-METHOD [ CODE-RANGE ])
-
-CHARSET is the name (symbol) of the charset.
-
-CHARSET-LIST is a list of Emacs charsets into which characters of
-CHARSET are mapped.
-
-TRANSLATION-METHOD is a translation table (symbol) to translate a
-character code of CHARSET to the corresponding Emacs character
-code.  It can also be a function to call with one argument, a
-character code in CHARSET.
-
-CODE-RANGE specifies the valid code ranges of CHARSET.
-It is a list of RANGEs, where each RANGE is of the form:
-  (FROM1 TO1 FROM2 TO2 ...)
-or
-  ((FROM1-1 TO1-1 FROM1-2 TO1-2 ...) . (FROM2-1 TO2-1 FROM2-2 TO2-2 ...))
-In the first form, valid codes are between FROM1 and TO1, or FROM2 and
-TO2, or...
-The second form is used for 2-byte codes.  The car part is the ranges
-of the first byte, and the cdr part is the ranges of the second byte.")
-
 (autoload 'list-character-sets "mule-diag" "\
 Display a list of all character sets.
 
-The ID-NUM column contains a charset identification number for
-internal Emacs use.
-
-The MULTIBYTE-FORM column contains the format of the buffer and string
-multibyte sequence of characters in the charset using one to four
-hexadecimal digits.
-  `xx' stands for any byte in the range 0..127.
-  `XX' stands for any byte in the range 160..255.
-
 The D column contains the dimension of this character set.  The CH
 column contains the number of characters in a block of this character
 set.  The FINAL-CHAR column contains an ISO-2022 <final-char> to use
@@ -18778,9 +18382,7 @@ but still shows the full information.
 
 (autoload 'read-charset "mule-diag" "\
 Read a character set from the minibuffer, prompting with string PROMPT.
-It must be an Emacs character set listed in the variable `charset-list'
-or a non-ISO character set listed in the variable
-`non-iso-charset-alist'.
+It must be an Emacs character set listed in the variable `charset-list'.
 
 Optional arguments are DEFAULT-VALUE and INITIAL-INPUT.
 DEFAULT-VALUE, if non-nil, is the default value.
@@ -18791,10 +18393,7 @@ detailed meanings of these arguments.
 \(fn PROMPT &optional DEFAULT-VALUE INITIAL-INPUT)" nil nil)
 
 (autoload 'list-charset-chars "mule-diag" "\
-Display a list of characters in the specified character set.
-This can list both Emacs `official' (ISO standard) charsets and the
-characters encoded by various Emacs coding systems which correspond to
-PC `codepages' and other coded character sets.  See `non-iso-charset-alist'.
+Display a list of characters in character set CHARSET.
 
 \(fn CHARSET)" t nil)
 
@@ -18887,14 +18486,29 @@ system which uses fontsets).
 
 \(fn)" t nil)
 
+(defvar unicodedata-file nil "\
+Location of UnicodeData file.
+This is the UnicodeData.txt file from the Unicode consortium, used for
+diagnostics.  If it is non-nil `describe-char-after' will print data
+looked up from it.")
+
+(custom-autoload 'unicodedata-file "mule-diag" t)
+
+(autoload 'unicode-data "mule-diag" "\
+Return a list of Unicode data for unicode CHAR.
+Each element is a list of a property description and the property value.
+The list is null if CHAR isn't found in `unicodedata-file'.
+
+\(fn CHAR)" nil nil)
+
 ;;;***
 \f
 ;;;### (autoloads (char-displayable-p detect-coding-with-language-environment
-;;;;;;  detect-coding-with-priority coding-system-translation-table-for-encode
+;;;;;;  detect-coding-with-priority with-coding-priority coding-system-translation-table-for-encode
 ;;;;;;  coding-system-translation-table-for-decode coding-system-pre-write-conversion
 ;;;;;;  coding-system-post-read-conversion lookup-nested-alist set-nested-alist
 ;;;;;;  truncate-string-to-width store-substring string-to-sequence)
-;;;;;;  "mule-util" "international/mule-util.el" (18088 55108))
+;;;;;;  "mule-util" "international/mule-util.el" (18364 47319))
 ;;; Generated autoloads from international/mule-util.el
 
 (autoload 'string-to-sequence "mule-util" "\
@@ -18988,15 +18602,23 @@ Return the value of CODING-SYSTEM's `pre-write-conversion' property.
 \(fn CODING-SYSTEM)" nil nil)
 
 (autoload 'coding-system-translation-table-for-decode "mule-util" "\
-Return the value of CODING-SYSTEM's `translation-table-for-decode' property.
+Return the value of CODING-SYSTEM's `decode-translation-table' property.
 
 \(fn CODING-SYSTEM)" nil nil)
 
 (autoload 'coding-system-translation-table-for-encode "mule-util" "\
-Return the value of CODING-SYSTEM's `translation-table-for-encode' property.
+Return the value of CODING-SYSTEM's `encode-translation-table' property.
 
 \(fn CODING-SYSTEM)" nil nil)
 
+(autoload 'with-coding-priority "mule-util" "\
+Execute BODY like `progn' with CODING-SYSTEMS at the front of priority list.
+CODING-SYSTEMS is a list of coding systems.  See
+`set-coding-priority'.  This affects the implicit sorting of lists of
+coding sysems returned by operations such as `find-coding-systems-region'.
+
+\(fn CODING-SYSTEMS &rest BODY)" nil (quote macro))
+
 (autoload 'detect-coding-with-priority "mule-util" "\
 Detect a coding system of the text between FROM and TO with PRIORITY-LIST.
 PRIORITY-LIST is an alist of coding categories vs the corresponding
@@ -19005,7 +18627,7 @@ coding systems ordered by priority.
 \(fn FROM TO PRIORITY-LIST)" nil (quote macro))
 
 (autoload 'detect-coding-with-language-environment "mule-util" "\
-Detect a coding system of the text between FROM and TO with LANG-ENV.
+Detect a coding system for the text between FROM and TO with LANG-ENV.
 The detection takes into account the coding system priorities for the
 language environment LANG-ENV.
 
@@ -19023,7 +18645,7 @@ basis, this may not be accurate.
 ;;;***
 \f
 ;;;### (autoloads (mwheel-install mouse-wheel-mode) "mwheel" "mwheel.el"
-;;;;;;  (18088 55087))
+;;;;;;  (18427 42305))
 ;;; Generated autoloads from mwheel.el
 
 (defvar mouse-wheel-mode nil "\
@@ -19051,8 +18673,8 @@ Enable mouse wheel support.
 \f
 ;;;### (autoloads (network-connection network-connection-to-service
 ;;;;;;  whois-reverse-lookup whois finger ftp run-dig dns-lookup-host
-;;;;;;  nslookup nslookup-host route arp netstat ipconfig ping traceroute)
-;;;;;;  "net-utils" "net/net-utils.el" (18088 55112))
+;;;;;;  nslookup nslookup-host route arp netstat iwconfig ifconfig
+;;;;;;  ping traceroute) "net-utils" "net/net-utils.el" (18390 50140))
 ;;; Generated autoloads from net/net-utils.el
 
 (autoload 'traceroute "net-utils" "\
@@ -19067,12 +18689,17 @@ If your system's ping continues until interrupted, you can try setting
 
 \(fn HOST)" t nil)
 
-(autoload 'ipconfig "net-utils" "\
-Run ipconfig program.
+(autoload 'ifconfig "net-utils" "\
+Run ifconfig program.
 
 \(fn)" t nil)
 
-(defalias 'ifconfig 'ipconfig)
+(defalias 'ipconfig 'ifconfig)
+
+(autoload 'iwconfig "net-utils" "\
+Run iwconfig program.
+
+\(fn)" t nil)
 
 (autoload 'netstat "net-utils" "\
 Run netstat program.
@@ -19080,12 +18707,12 @@ Run netstat program.
 \(fn)" t nil)
 
 (autoload 'arp "net-utils" "\
-Run the arp program.
+Run arp program.
 
 \(fn)" t nil)
 
 (autoload 'route "net-utils" "\
-Run the route program.
+Run route program.
 
 \(fn)" t nil)
 
@@ -19148,7 +18775,7 @@ Open a network connection to HOST on PORT.
 ;;;;;;  uncomment-region comment-kill comment-set-column comment-indent
 ;;;;;;  comment-indent-default comment-normalize-vars comment-multi-line
 ;;;;;;  comment-padding comment-style comment-column) "newcomment"
-;;;;;;  "newcomment.el" (18210 13714))
+;;;;;;  "newcomment.el" (18421 29568))
 ;;; Generated autoloads from newcomment.el
 
 (defalias 'indent-for-comment 'comment-indent)
@@ -19265,7 +18892,7 @@ With any other arg, set comment column to indentation of the previous comment
 \(fn ARG)" t nil)
 
 (autoload 'comment-kill "newcomment" "\
-Kill the comment on this line, if any.
+Kill the first comment on this line, if any.
 With prefix ARG, kill comments on that many lines starting with this one.
 
 \(fn ARG)" t nil)
@@ -19310,7 +18937,8 @@ Call the comment command you want (Do What I Mean).
 If the region is active and `transient-mark-mode' is on, call
   `comment-region' (unless it only consists of comments, in which
   case it calls `uncomment-region').
-Else, if the current line is empty, insert a comment and indent it.
+Else, if the current line is empty, call `comment-insert-comment-function'
+if it is defined, otherwise insert a comment and indent it.
 Else if a prefix ARG is specified, call `comment-kill'.
 Else, call `comment-indent'.
 You can configure `comment-style' to change the way regions are commented.
@@ -19344,7 +18972,7 @@ unless optional argument SOFT is non-nil.
 \f
 ;;;### (autoloads (newsticker-show-news newsticker-start-ticker newsticker-start
 ;;;;;;  newsticker-ticker-running-p newsticker-running-p) "newsticker"
-;;;;;;  "net/newsticker.el" (18088 55112))
+;;;;;;  "net/newsticker.el" (18335 54538))
 ;;; Generated autoloads from net/newsticker.el
 
 (autoload 'newsticker-running-p "newsticker" "\
@@ -19386,7 +19014,7 @@ Switch to newsticker buffer.  You may want to bind this to a key.
 ;;;***
 \f
 ;;;### (autoloads (nndiary-generate-nov-databases) "nndiary" "gnus/nndiary.el"
-;;;;;;  (18212 46007))
+;;;;;;  (18335 54533))
 ;;; Generated autoloads from gnus/nndiary.el
 
 (autoload 'nndiary-generate-nov-databases "nndiary" "\
@@ -19396,8 +19024,8 @@ Generate NOV databases in all nndiary directories.
 
 ;;;***
 \f
-;;;### (autoloads (nndoc-add-type) "nndoc" "gnus/nndoc.el" (18212
-;;;;;;  46007))
+;;;### (autoloads (nndoc-add-type) "nndoc" "gnus/nndoc.el" (18379
+;;;;;;  56162))
 ;;; Generated autoloads from gnus/nndoc.el
 
 (autoload 'nndoc-add-type "nndoc" "\
@@ -19412,7 +19040,7 @@ symbol in the alist.
 ;;;***
 \f
 ;;;### (autoloads (nnfolder-generate-active-file) "nnfolder" "gnus/nnfolder.el"
-;;;;;;  (18212 46007))
+;;;;;;  (18335 54533))
 ;;; Generated autoloads from gnus/nnfolder.el
 
 (autoload 'nnfolder-generate-active-file "nnfolder" "\
@@ -19424,7 +19052,7 @@ This command does not work if you use short group names.
 ;;;***
 \f
 ;;;### (autoloads (nnkiboze-generate-groups) "nnkiboze" "gnus/nnkiboze.el"
-;;;;;;  (18212 46007))
+;;;;;;  (18335 54533))
 ;;; Generated autoloads from gnus/nnkiboze.el
 
 (autoload 'nnkiboze-generate-groups "nnkiboze" "\
@@ -19436,7 +19064,7 @@ Finds out what articles are to be part of the nnkiboze groups.
 ;;;***
 \f
 ;;;### (autoloads (nnml-generate-nov-databases) "nnml" "gnus/nnml.el"
-;;;;;;  (18212 46007))
+;;;;;;  (18335 54533))
 ;;; Generated autoloads from gnus/nnml.el
 
 (autoload 'nnml-generate-nov-databases "nnml" "\
@@ -19447,7 +19075,7 @@ Generate NOV databases in all nnml directories.
 ;;;***
 \f
 ;;;### (autoloads (nnsoup-revert-variables nnsoup-set-variables nnsoup-pack-replies)
-;;;;;;  "nnsoup" "gnus/nnsoup.el" (18212 46007))
+;;;;;;  "nnsoup" "gnus/nnsoup.el" (18335 54533))
 ;;; Generated autoloads from gnus/nnsoup.el
 
 (autoload 'nnsoup-pack-replies "nnsoup" "\
@@ -19468,7 +19096,7 @@ Revert posting and mailing methods to the standard Emacs methods.
 ;;;***
 \f
 ;;;### (autoloads (disable-command enable-command disabled-command-function)
-;;;;;;  "novice" "novice.el" (18088 55087))
+;;;;;;  "novice" "novice.el" (18335 54515))
 ;;; Generated autoloads from novice.el
 
 (defvar disabled-command-function 'disabled-command-function "\
@@ -19501,7 +19129,7 @@ to future sessions.
 ;;;***
 \f
 ;;;### (autoloads (nroff-mode) "nroff-mode" "textmodes/nroff-mode.el"
-;;;;;;  (18120 34753))
+;;;;;;  (18423 20180))
 ;;; Generated autoloads from textmodes/nroff-mode.el
 
 (autoload 'nroff-mode "nroff-mode" "\
@@ -19513,10 +19141,99 @@ closing requests for requests that are used in matched pairs.
 
 \(fn)" t nil)
 
+;;;***
+\f
+;;;### (autoloads (nxml-glyph-display-string) "nxml-glyph" "nxml/nxml-glyph.el"
+;;;;;;  (18335 54539))
+;;; Generated autoloads from nxml/nxml-glyph.el
+
+(autoload 'nxml-glyph-display-string "nxml-glyph" "\
+Return a string that can display a glyph for Unicode code-point N.
+FACE gives the face that will be used for displaying the string.
+Return nil if the face cannot display a glyph for N.
+
+\(fn N FACE)" nil nil)
+
+;;;***
+\f
+;;;### (autoloads (nxml-mode) "nxml-mode" "nxml/nxml-mode.el" (18362
+;;;;;;  26778))
+;;; Generated autoloads from nxml/nxml-mode.el
+
+(autoload 'nxml-mode "nxml-mode" "\
+Major mode for editing XML.
+
+Syntax highlighting is performed unless the variable
+`nxml-syntax-highlight-flag' is nil.
+
+\\[nxml-finish-element] finishes the current element by inserting an end-tag.
+C-c C-i closes a start-tag with `>' and then inserts a balancing end-tag
+leaving point between the start-tag and end-tag. 
+\\[nxml-balanced-close-start-tag-block] is similar but for block rather than inline elements:
+the start-tag, point, and end-tag are all left on separate lines.
+If `nxml-slash-auto-complete-flag' is non-nil, then inserting a `</'
+automatically inserts the rest of the end-tag.
+
+\\[nxml-complete] performs completion on the symbol preceding point.
+
+\\[nxml-dynamic-markup-word] uses the contents of the current buffer
+to choose a tag to put around the word preceding point.
+
+Sections of the document can be displayed in outline form.  The
+variable `nxml-section-element-name-regexp' controls when an element
+is recognized as a section.  The same key sequences that change
+visibility in outline mode are used except that they start with C-c C-o
+instead of C-c.
+
+Validation is provided by the related minor-mode `rng-validate-mode'.
+This also makes completion schema- and context- sensitive.  Element
+names, attribute names, attribute values and namespace URIs can all be
+completed. By default, `rng-validate-mode' is automatically enabled. You
+can toggle it using \\[rng-validate-mode] or change the default by
+customizing `rng-nxml-auto-validate-flag'.
+
+\\[indent-for-tab-command] indents the current line appropriately.
+This can be customized using the variable `nxml-child-indent'
+and the variable `nxml-attribute-indent'.
+
+\\[nxml-insert-named-char] inserts a character reference using
+the character's name (by default, the Unicode name). \\[universal-argument] \\[nxml-insert-named-char]
+inserts the character directly.
+
+The Emacs commands that normally operate on balanced expressions will
+operate on XML markup items.  Thus \\[forward-sexp] will move forward
+across one markup item; \\[backward-sexp] will move backward across
+one markup item; \\[kill-sexp] will kill the following markup item;
+\\[mark-sexp] will mark the following markup item.  By default, each
+tag each treated as a single markup item; to make the complete element
+be treated as a single markup item, set the variable
+`nxml-sexp-element-flag' to t.  For more details, see the function
+`nxml-forward-balanced-item'.
+
+\\[nxml-backward-up-element] and \\[nxml-down-element] move up and down the element structure.
+
+Many aspects this mode can be customized using
+\\[customize-group] nxml RET.
+
+\(fn)" t nil)
+
+;;;***
+\f
+;;;### (autoloads (nxml-enable-unicode-char-name-sets) "nxml-uchnm"
+;;;;;;  "nxml/nxml-uchnm.el" (18335 54540))
+;;; Generated autoloads from nxml/nxml-uchnm.el
+
+(autoload 'nxml-enable-unicode-char-name-sets "nxml-uchnm" "\
+Enable the use of Unicode standard names for characters.
+The Unicode blocks for which names are enabled is controlled by
+the variable `nxml-enabled-unicode-blocks'.
+
+\(fn)" t nil)
+
 ;;;***
 \f
 ;;;### (autoloads (octave-help) "octave-hlp" "progmodes/octave-hlp.el"
-;;;;;;  (18088 55117))
+;;;;;;  (18335 54543))
 ;;; Generated autoloads from progmodes/octave-hlp.el
 
 (autoload 'octave-help "octave-hlp" "\
@@ -19530,7 +19247,7 @@ If KEY is not a string, prompt for it with completion.
 ;;;***
 \f
 ;;;### (autoloads (inferior-octave) "octave-inf" "progmodes/octave-inf.el"
-;;;;;;  (18164 24097))
+;;;;;;  (18335 54543))
 ;;; Generated autoloads from progmodes/octave-inf.el
 
 (autoload 'inferior-octave "octave-inf" "\
@@ -19553,7 +19270,7 @@ startup file, `~/.emacs-octave'.
 ;;;***
 \f
 ;;;### (autoloads (octave-mode) "octave-mod" "progmodes/octave-mod.el"
-;;;;;;  (18203 37789))
+;;;;;;  (18335 54543))
 ;;; Generated autoloads from progmodes/octave-mod.el
 
 (autoload 'octave-mode "octave-mod" "\
@@ -19644,16 +19361,17 @@ including a reproducible test case and send the message.
 ;;;***
 \f
 ;;;### (autoloads (org-export-icalendar-combine-agenda-files org-export-icalendar-all-agenda-files
-;;;;;;  org-export-icalendar-this-file org-diary org-tags-view org-todo-list
-;;;;;;  org-agenda-list org-cycle-agenda-files org-batch-store-agenda-views
-;;;;;;  org-store-agenda-views org-batch-agenda-csv org-batch-agenda
-;;;;;;  org-agenda org-agenda-to-appt org-remember-handler org-remember
-;;;;;;  org-remember-apply-template org-remember-annotation org-open-at-point-global
+;;;;;;  org-export-icalendar-this-file org-diary org-agenda-list-stuck-projects
+;;;;;;  org-tags-view org-todo-list org-search-view org-agenda-list
+;;;;;;  org-cycle-agenda-files org-batch-store-agenda-views org-store-agenda-views
+;;;;;;  org-batch-agenda-csv org-batch-agenda org-agenda org-agenda-to-appt
+;;;;;;  org-remember-handler org-remember org-remember-apply-template
+;;;;;;  org-remember-annotation org-remember-insinuate org-open-at-point-global
 ;;;;;;  org-insert-link-global org-store-link orgtbl-mode turn-on-orgtbl
 ;;;;;;  org-run-like-in-org-mode turn-on-orgstruct++ turn-on-orgstruct
 ;;;;;;  orgstruct-mode org-global-cycle org-cycle org-mode) "org"
-;;;;;;  "textmodes/org.el" (18208 48754))
-;;; Generated autoloads from textmodes/org.el
+;;;;;;  "org/org.el" (18425 33442))
+;;; Generated autoloads from org/org.el
 
 (autoload 'org-mode "org" "\
 Outline-based notes management and organizer, alias
@@ -19746,7 +19464,7 @@ Unconditionally turn on `orgstruct-mode'.
 Unconditionally turn on `orgstruct-mode', and force org-mode indentations.
 In addition to setting orgstruct-mode, this also exports all indentation and
 autofilling variables from org-mode into the buffer.  Note that turning
-off orgstruct-mode will *not* remove these additonal settings.
+off orgstruct-mode will *not* remove these additional settings.
 
 \(fn)" nil nil)
 
@@ -19767,8 +19485,9 @@ The `org-mode' table editor as a minor mode for use in other modes.
 
 (autoload 'org-store-link "org" "\
 \\<org-mode-map>Store an org-link to the current location.
-This link can later be inserted into an org-buffer with
-\\[org-insert-link].
+This link is added to `org-stored-links' and can later be inserted
+into an org-buffer with \\[org-insert-link].
+
 For some link types, a prefix arg is interpreted:
 For links to usenet articles, arg negates `org-usenet-links-prefer-google'.
 For file links, arg negates `org-context-in-file-links'.
@@ -19788,6 +19507,11 @@ Org-mode syntax.
 
 \(fn)" t nil)
 
+(autoload 'org-remember-insinuate "org" "\
+Setup remember.el for use wiht Org-mode.
+
+\(fn)" nil nil)
+
 (autoload 'org-remember-annotation "org" "\
 Return a link to the current location as an annotation for remember.el.
 If you are using Org-mode files as target for data storage with
@@ -19799,7 +19523,7 @@ conventions in Org-mode.  This function returns such a link.
 (autoload 'org-remember-apply-template "org" "\
 Initialize *remember* buffer with template, invoke `org-mode'.
 This function should be placed into `remember-mode-hook' and in fact requires
-to be run from that hook to fucntion properly.
+to be run from that hook to function properly.
 
 \(fn &optional USE-CHAR SKIP-INTERACTIVE)" nil nil)
 
@@ -19808,7 +19532,15 @@ Call `remember'.  If this is already a remember buffer, re-apply template.
 If there is an active region, make sure remember uses it as initial content
 of the remember buffer.
 
-\(fn &optional ORG-FORCE-REMEMBER-TEMPLATE-CHAR)" t nil)
+When called interactively with a `C-u' prefix argument GOTO, don't remember
+anything, just go to the file/headline where the selected template usually
+stores its notes.  With a double prefix arg `C-u C-u', go to the last
+note stored by remember.
+
+Lisp programs can set ORG-FORCE-REMEMBER-TEMPLATE-CHAR to a character
+associated with a template in `org-remember-templates'.
+
+\(fn &optional GOTO ORG-FORCE-REMEMBER-TEMPLATE-CHAR)" t nil)
 
 (autoload 'org-remember-handler "org" "\
 Store stuff from remember.el into an org file.
@@ -19850,22 +19582,25 @@ See also the variable `org-reverse-note-order'.
 
 (autoload 'org-agenda-to-appt "org" "\
 Activate appointments found in `org-agenda-files'.
-When prefixed, prompt for a regular expression and use it as a
-filter: only add entries if they match this regular expression.
+With a \\[universal-argument] prefix, refresh the list of
+appointements.
+
+If FILTER is t, interactively prompt the user for a regular
+expression, and filter out entries that don't match it.
 
-FILTER can be a string. In this case, use this string as a
-regular expression to filter results.
+If FILTER is a string, use this string as a regular expression
+for filtering entries out.
 
-FILTER can also be an alist, with the car of each cell being
+FILTER can also be an alist with the car of each cell being
 either 'headline or 'category.  For example:
 
   '((headline \"IMPORTANT\")
     (category \"Work\"))
 
 will only add headlines containing IMPORTANT or headlines
-belonging to the category \"Work\".
+belonging to the \"Work\" category.
 
-\(fn &optional FILTER)" t nil)
+\(fn &optional REFRESH FILTER)" t nil)
 
 (autoload 'org-agenda "org" "\
 Dispatch agenda commands to collect entries to the agenda buffer.
@@ -19898,7 +19633,7 @@ Pressing `<' twice means to restrict to the current subtree or region
 Run an agenda command in batch mode and send the result to STDOUT.
 If CMD-KEY is a string of length 1, it is used as a key in
 `org-agenda-custom-commands' and triggers this command.  If it is a
-longer string is is used as a tags/todo match string.
+longer string it is used as a tags/todo match string.
 Paramters are alternating variable names and values that will be bound
 before running the agenda command.
 
@@ -19908,7 +19643,7 @@ before running the agenda command.
 Run an agenda command in batch mode and send the result to STDOUT.
 If CMD-KEY is a string of length 1, it is used as a key in
 `org-agenda-custom-commands' and triggers this command.  If it is a
-longer string is is used as a tags/todo match string.
+longer string it is used as a tags/todo match string.
 Paramters are alternating variable names and values that will be bound
 before running the agenda command.
 
@@ -19977,6 +19712,25 @@ given in `org-agenda-start-on-weekday'.
 
 \(fn &optional INCLUDE-ALL START-DAY NDAYS)" t nil)
 
+(autoload 'org-search-view "org" "\
+Show all entries that contain words or regular expressions.
+If the first character of the search string is an asterisks,
+search only the headlines.
+
+The search string is broken into \"words\" by splitting at whitespace.
+The individual words are then interpreted as a boolean expression with
+logical AND.  Words prefixed with a minus must not occur in the entry.
+Words without a prefix or prefixed with a plus must occur in the entry.
+Matching is case-insensitive and the words are enclosed by word delimiters.
+
+Words enclosed by curly braces are interpreted as regular expressions
+that must or must not match in the entry.
+
+This command searches the agenda files, and in addition the files listed
+in `org-agenda-text-search-extra-files'.
+
+\(fn &optional ARG STRING)" t nil)
+
 (autoload 'org-todo-list "org" "\
 Show all TODO entries from all agenda file in a single list.
 The prefix arg can be used to select a specific TODO keyword and limit
@@ -19992,6 +19746,15 @@ The prefix arg TODO-ONLY limits the search to TODO entries.
 
 \(fn &optional TODO-ONLY MATCH)" t nil)
 
+(autoload 'org-agenda-list-stuck-projects "org" "\
+Create agenda view for projects that are stuck.
+Stuck projects are project that have no next actions.  For the definitions
+of what a project is and how to check if it stuck, customize the variable
+`org-stuck-projects'.
+MATCH is being ignored.
+
+\(fn &rest IGNORE)" t nil)
+
 (autoload 'org-diary "org" "\
 Return diary information from org-files.
 This function can be used in a \"sexp\" diary entry in the Emacs calendar.
@@ -20064,9 +19827,9 @@ The file is stored under the name `org-combined-agenda-icalendar-file'.
 \f
 ;;;### (autoloads (org-export-as-latex org-export-region-as-latex
 ;;;;;;  org-replace-region-by-latex org-export-as-latex-to-buffer
-;;;;;;  org-export-as-latex-batch) "org-export-latex" "textmodes/org-export-latex.el"
-;;;;;;  (18214 4479))
-;;; Generated autoloads from textmodes/org-export-latex.el
+;;;;;;  org-export-as-latex-batch) "org-export-latex" "org/org-export-latex.el"
+;;;;;;  (18404 40763))
+;;; Generated autoloads from org/org-export-latex.el
 
 (autoload 'org-export-as-latex-batch "org-export-latex" "\
 Call `org-export-as-latex', may be used in batch processing as
@@ -20086,7 +19849,7 @@ No file is created.  The prefix ARG is passed through to `org-export-as-latex'.
 (autoload 'org-replace-region-by-latex "org-export-latex" "\
 Replace the region from BEG to END with its LaTeX export.
 It assumes the region has `org-mode' syntax, and then convert it to
-LaTeX. This can be used in any buffer. For example, you could
+LaTeX.  This can be used in any buffer.  For example, you could
 write an itemized list in `org-mode' syntax in an LaTeX buffer and
 then use this command to convert it.
 
@@ -20111,24 +19874,51 @@ in a window.  A non-interactive call will only retunr the buffer.
 
 (autoload 'org-export-as-latex "org-export-latex" "\
 Export current buffer to a LaTeX file.
+If there is an active region, export only the region.  The prefix
+ARG specifies how many levels of the outline should become
+headlines.  The default is 3.  Lower levels will be exported
+depending on `org-export-latex-low-levels'.  The default is to
+convert them as description lists.  When HIDDEN is non-nil, don't
+display the LaTeX buffer.  EXT-PLIST is a property list with
+external parameters overriding org-mode's default settings, but
+still inferior to file-local settings.  When TO-BUFFER is
+non-nil, create a buffer with that name and export to that
+buffer.  If TO-BUFFER is the symbol `string', don't leave any
+buffer behind but just return the resulting LaTeX as a string.
+When BODY-ONLY is set, don't produce the file header and footer,
+simply return the content of \begin{document}...\end{document},
+without even the \begin{document} and \end{document} commands.
+when PUB-DIR is set, use this as the publishing directory.
+
+\(fn ARG &optional HIDDEN EXT-PLIST TO-BUFFER BODY-ONLY PUB-DIR)" t nil)
+
+;;;***
+\f
+;;;### (autoloads (org-irc-store-link) "org-irc" "org/org-irc.el"
+;;;;;;  (18404 40763))
+;;; Generated autoloads from org/org-irc.el
+
+(autoload 'org-irc-store-link "org-irc" "\
+Dispatch to the appropreate function to store a link to
+something IRC related
 
-\(fn ARG &optional HIDDEN EXT-PLIST TO-BUFFER BODY-ONLY)" t nil)
+\(fn)" nil nil)
 
 ;;;***
 \f
-;;;### (autoloads (org-publish-all org-publish-current-file org-publish-current-project
-;;;;;;  org-publish) "org-publish" "textmodes/org-publish.el" (18214
-;;;;;;  4479))
-;;; Generated autoloads from textmodes/org-publish.el
+;;;### (autoloads (org-publish-current-project org-publish-current-file
+;;;;;;  org-publish-all org-publish) "org-publish" "org/org-publish.el"
+;;;;;;  (18404 40764))
+;;; Generated autoloads from org/org-publish.el
 
 (autoload 'org-publish "org-publish" "\
-Publish the project PROJECT-NAME.
+Publish PROJECT.
 
-\(fn PROJECT-NAME &optional FORCE)" t nil)
+\(fn PROJECT &optional FORCE)" t nil)
 
-(autoload 'org-publish-current-project "org-publish" "\
-Publish the project associated with the current file.
-With prefix argument, force publishing all files in project.
+(autoload 'org-publish-all "org-publish" "\
+Publish all projects.
+With prefix argument, force publish all files.
 
 \(fn &optional FORCE)" t nil)
 
@@ -20138,16 +19928,17 @@ With prefix argument, force publish the file.
 
 \(fn &optional FORCE)" t nil)
 
-(autoload 'org-publish-all "org-publish" "\
-Publish all projects.
-With prefix argument, force publish all files.
+(autoload 'org-publish-current-project "org-publish" "\
+Publish the project associated with the current file.
+With a prefix argument, force publishing of all files in
+the project.
 
 \(fn &optional FORCE)" t nil)
 
 ;;;***
 \f
 ;;;### (autoloads (outline-minor-mode outline-mode) "outline" "outline.el"
-;;;;;;  (18187 36839))
+;;;;;;  (18428 27944))
 ;;; Generated autoloads from outline.el
 (put 'outline-regexp 'safe-local-variable 'string-or-null-p)
 
@@ -20203,22 +19994,7 @@ See the command `outline-mode' for more information on this mode.
 
 ;;;***
 \f
-;;;### (autoloads nil "paragraphs" "textmodes/paragraphs.el" (18088
-;;;;;;  55120))
-;;; Generated autoloads from textmodes/paragraphs.el
-(put 'paragraph-start 'safe-local-variable 'stringp)
-(put 'paragraph-separate 'safe-local-variable 'stringp)
-(put 'sentence-end-double-space 'safe-local-variable 'booleanp)
-(put 'sentence-end-without-period 'safe-local-variable 'booleanp)
-(put 'sentence-end-without-space 'safe-local-variable 'stringp)
-(put 'sentence-end 'safe-local-variable 'string-or-null-p)
-(put 'sentence-end-base 'safe-local-variable 'stringp)
-(put 'page-delimiter 'safe-local-variable 'stringp)
-(put 'paragraph-ignore-fill-prefix 'safe-local-variable 'booleanp)
-
-;;;***
-\f
-;;;### (autoloads (show-paren-mode) "paren" "paren.el" (18088 55087))
+;;;### (autoloads (show-paren-mode) "paren" "paren.el" (18335 54515))
 ;;; Generated autoloads from paren.el
 
 (defvar show-paren-mode nil "\
@@ -20243,7 +20019,7 @@ in `show-paren-style' after `show-paren-delay' seconds of Emacs idle time.
 ;;;***
 \f
 ;;;### (autoloads (parse-time-string) "parse-time" "calendar/parse-time.el"
-;;;;;;  (18088 55094))
+;;;;;;  (18426 29950))
 ;;; Generated autoloads from calendar/parse-time.el
 
 (autoload 'parse-time-string "parse-time" "\
@@ -20255,8 +20031,8 @@ unknown are returned as nil.
 
 ;;;***
 \f
-;;;### (autoloads (pascal-mode) "pascal" "progmodes/pascal.el" (18088
-;;;;;;  55117))
+;;;### (autoloads (pascal-mode) "pascal" "progmodes/pascal.el" (18335
+;;;;;;  54543))
 ;;; Generated autoloads from progmodes/pascal.el
 
 (autoload 'pascal-mode "pascal" "\
@@ -20309,7 +20085,7 @@ no args, if that value is non-nil.
 ;;;***
 \f
 ;;;### (autoloads (pc-bindings-mode) "pc-mode" "emulation/pc-mode.el"
-;;;;;;  (18088 55097))
+;;;;;;  (18335 54524))
 ;;; Generated autoloads from emulation/pc-mode.el
 
 (autoload 'pc-bindings-mode "pc-mode" "\
@@ -20326,8 +20102,8 @@ C-Escape does list-buffers.
 
 ;;;***
 \f
-;;;### (autoloads (pc-selection-mode pc-selection-mode) "pc-select"
-;;;;;;  "emulation/pc-select.el" (18210 30826))
+;;;### (autoloads (pc-selection-mode) "pc-select" "emulation/pc-select.el"
+;;;;;;  (18335 54524))
 ;;; Generated autoloads from emulation/pc-select.el
 
 (defvar pc-selection-mode nil "\
@@ -20391,20 +20167,10 @@ but before calling PC Selection mode):
 
 \(fn &optional ARG)" t nil)
 
-(defvar pc-selection-mode nil "\
-Toggle PC Selection mode.
-Change mark behavior to emulate Motif, MAC or MS-Windows cut and paste style,
-and cursor movement commands.
-This mode enables Delete Selection mode and Transient Mark mode.
-Setting this variable directly does not take effect;
-you must modify it using \\[customize] or \\[pc-selection-mode].")
-
-(custom-autoload 'pc-selection-mode "pc-select" nil)
-
 ;;;***
 \f
-;;;### (autoloads (pcomplete/cvs) "pcmpl-cvs" "pcmpl-cvs.el" (18088
-;;;;;;  55087))
+;;;### (autoloads (pcomplete/cvs) "pcmpl-cvs" "pcmpl-cvs.el" (18335
+;;;;;;  54515))
 ;;; Generated autoloads from pcmpl-cvs.el
 
 (autoload 'pcomplete/cvs "pcmpl-cvs" "\
@@ -20415,7 +20181,7 @@ Completion rules for the `cvs' command.
 ;;;***
 \f
 ;;;### (autoloads (pcomplete/tar pcomplete/make pcomplete/bzip2 pcomplete/gzip)
-;;;;;;  "pcmpl-gnu" "pcmpl-gnu.el" (18088 55087))
+;;;;;;  "pcmpl-gnu" "pcmpl-gnu.el" (18335 54515))
 ;;; Generated autoloads from pcmpl-gnu.el
 
 (autoload 'pcomplete/gzip "pcmpl-gnu" "\
@@ -20443,7 +20209,7 @@ Completion for the GNU tar utility.
 ;;;***
 \f
 ;;;### (autoloads (pcomplete/mount pcomplete/umount pcomplete/kill)
-;;;;;;  "pcmpl-linux" "pcmpl-linux.el" (18088 55087))
+;;;;;;  "pcmpl-linux" "pcmpl-linux.el" (18335 54515))
 ;;; Generated autoloads from pcmpl-linux.el
 
 (autoload 'pcomplete/kill "pcmpl-linux" "\
@@ -20463,8 +20229,8 @@ Completion for GNU/Linux `mount'.
 
 ;;;***
 \f
-;;;### (autoloads (pcomplete/rpm) "pcmpl-rpm" "pcmpl-rpm.el" (18088
-;;;;;;  55087))
+;;;### (autoloads (pcomplete/rpm) "pcmpl-rpm" "pcmpl-rpm.el" (18335
+;;;;;;  54515))
 ;;; Generated autoloads from pcmpl-rpm.el
 
 (autoload 'pcomplete/rpm "pcmpl-rpm" "\
@@ -20478,9 +20244,9 @@ You can use \\[eshell-report-bug] to do so.
 
 ;;;***
 \f
-;;;### (autoloads (pcomplete/chgrp pcomplete/chown pcomplete/which
-;;;;;;  pcomplete/xargs pcomplete/rm pcomplete/rmdir pcomplete/cd)
-;;;;;;  "pcmpl-unix" "pcmpl-unix.el" (18088 55087))
+;;;### (autoloads (pcomplete/scp pcomplete/ssh pcomplete/chgrp pcomplete/chown
+;;;;;;  pcomplete/which pcomplete/xargs pcomplete/rm pcomplete/rmdir
+;;;;;;  pcomplete/cd) "pcmpl-unix" "pcmpl-unix.el" (18348 20419))
 ;;; Generated autoloads from pcmpl-unix.el
 
 (autoload 'pcomplete/cd "pcmpl-unix" "\
@@ -20522,12 +20288,23 @@ Completion for the `chgrp' command.
 
 \(fn)" nil nil)
 
+(autoload 'pcomplete/ssh "pcmpl-unix" "\
+Completion rules for the `ssh' command.
+
+\(fn)" nil nil)
+
+(autoload 'pcomplete/scp "pcmpl-unix" "\
+Completion rules for the `scp' command.
+Includes files as well as host names followed by a colon.
+
+\(fn)" nil nil)
+
 ;;;***
 \f
 ;;;### (autoloads (pcomplete-shell-setup pcomplete-comint-setup pcomplete-list
 ;;;;;;  pcomplete-help pcomplete-expand pcomplete-continue pcomplete-expand-and-complete
-;;;;;;  pcomplete-reverse pcomplete) "pcomplete" "pcomplete.el" (18088
-;;;;;;  55087))
+;;;;;;  pcomplete-reverse pcomplete) "pcomplete" "pcomplete.el" (18335
+;;;;;;  54515))
 ;;; Generated autoloads from pcomplete.el
 
 (autoload 'pcomplete "pcomplete" "\
@@ -20586,7 +20363,7 @@ Setup shell-mode to use pcomplete.
 \f
 ;;;### (autoloads (cvs-dired-use-hook cvs-dired-action cvs-status
 ;;;;;;  cvs-update cvs-examine cvs-quickdir cvs-checkout) "pcvs"
-;;;;;;  "pcvs.el" (18190 46607))
+;;;;;;  "pcvs.el" (18335 54515))
 ;;; Generated autoloads from pcvs.el
 
 (autoload 'cvs-checkout "pcvs" "\
@@ -20663,7 +20440,7 @@ The exact behavior is determined also by `cvs-dired-use-hook'." (when (stringp d
 
 ;;;***
 \f
-;;;### (autoloads nil "pcvs-defs" "pcvs-defs.el" (18187 36839))
+;;;### (autoloads nil "pcvs-defs" "pcvs-defs.el" (18335 54515))
 ;;; Generated autoloads from pcvs-defs.el
 
 (defvar cvs-global-menu (let ((m (make-sparse-keymap "PCL-CVS"))) (define-key m [status] '(menu-item "Directory Status" cvs-status :help "A more verbose status of a workarea")) (define-key m [checkout] '(menu-item "Checkout Module" cvs-checkout :help "Check out a module from the repository")) (define-key m [update] '(menu-item "Update Directory" cvs-update :help "Fetch updates from the repository")) (define-key m [examine] '(menu-item "Examine Directory" cvs-examine :help "Examine the current state of a workarea")) (fset 'cvs-global-menu m)))
@@ -20671,9 +20448,14 @@ The exact behavior is determined also by `cvs-dired-use-hook'." (when (stringp d
 ;;;***
 \f
 ;;;### (autoloads (perl-mode) "perl-mode" "progmodes/perl-mode.el"
-;;;;;;  (18128 36990))
+;;;;;;  (18421 29591))
 ;;; Generated autoloads from progmodes/perl-mode.el
 (put 'perl-indent-level 'safe-local-variable 'integerp)
+(put 'perl-continued-statement-offset 'safe-local-variable 'integerp)
+(put 'perl-continued-brace-offset 'safe-local-variable 'integerp)
+(put 'perl-brace-offset 'safe-local-variable 'integerp)
+(put 'perl-brace-imaginary-offset 'safe-local-variable 'integerp)
+(put 'perl-label-offset 'safe-local-variable 'integerp)
 
 (autoload 'perl-mode "perl-mode" "\
 Major mode for editing Perl code.
@@ -20730,7 +20512,7 @@ Turning on Perl mode runs the normal hook `perl-mode-hook'.
 ;;;### (autoloads (pgg-snarf-keys pgg-snarf-keys-region pgg-insert-key
 ;;;;;;  pgg-verify pgg-verify-region pgg-sign pgg-sign-region pgg-decrypt
 ;;;;;;  pgg-decrypt-region pgg-encrypt pgg-encrypt-symmetric pgg-encrypt-symmetric-region
-;;;;;;  pgg-encrypt-region) "pgg" "pgg.el" (18088 55088))
+;;;;;;  pgg-encrypt-region) "pgg" "pgg.el" (18335 54515))
 ;;; Generated autoloads from pgg.el
 
 (autoload 'pgg-encrypt-region "pgg" "\
@@ -20864,7 +20646,7 @@ Import public keys in the current buffer.
 ;;;***
 \f
 ;;;### (autoloads (pgg-gpg-symmetric-key-p) "pgg-gpg" "pgg-gpg.el"
-;;;;;;  (18088 55087))
+;;;;;;  (18335 54515))
 ;;; Generated autoloads from pgg-gpg.el
 
 (autoload 'pgg-gpg-symmetric-key-p "pgg-gpg" "\
@@ -20875,7 +20657,7 @@ True if decoded armor MESSAGE-KEYS has symmetric encryption indicator.
 ;;;***
 \f
 ;;;### (autoloads (picture-mode) "picture" "textmodes/picture.el"
-;;;;;;  (18088 55120))
+;;;;;;  (18335 54545))
 ;;; Generated autoloads from textmodes/picture.el
 
 (autoload 'picture-mode "picture" "\
@@ -20956,7 +20738,7 @@ they are not defaultly assigned to keys.
 ;;;***
 \f
 ;;;### (autoloads (po-find-file-coding-system) "po" "textmodes/po.el"
-;;;;;;  (18088 55120))
+;;;;;;  (18335 54545))
 ;;; Generated autoloads from textmodes/po.el
 
 (autoload 'po-find-file-coding-system "po" "\
@@ -20967,7 +20749,7 @@ Called through `file-coding-system-alist', before the file is visited for real.
 
 ;;;***
 \f
-;;;### (autoloads (pong) "pong" "play/pong.el" (18088 55114))
+;;;### (autoloads (pong) "pong" "play/pong.el" (18335 54541))
 ;;; Generated autoloads from play/pong.el
 
 (autoload 'pong "pong" "\
@@ -20984,7 +20766,7 @@ pong-mode keybindings:\\<pong-mode-map>
 ;;;***
 \f
 ;;;### (autoloads (pp-eval-last-sexp pp-eval-expression pp pp-buffer
-;;;;;;  pp-to-string) "pp" "emacs-lisp/pp.el" (18098 47345))
+;;;;;;  pp-to-string) "pp" "emacs-lisp/pp.el" (18335 54522))
 ;;; Generated autoloads from emacs-lisp/pp.el
 
 (autoload 'pp-to-string "pp" "\
@@ -21040,7 +20822,7 @@ Ignores leading comment characters.
 ;;;;;;  pr-ps-buffer-print pr-ps-buffer-using-ghostscript pr-ps-buffer-preview
 ;;;;;;  pr-ps-directory-ps-print pr-ps-directory-print pr-ps-directory-using-ghostscript
 ;;;;;;  pr-ps-directory-preview pr-interface) "printing" "printing.el"
-;;;;;;  (18211 32385))
+;;;;;;  (18335 54515))
 ;;; Generated autoloads from printing.el
 
 (autoload 'pr-interface "printing" "\
@@ -21628,7 +21410,7 @@ are both set to t.
 ;;;***
 \f
 ;;;### (autoloads (switch-to-prolog prolog-mode) "prolog" "progmodes/prolog.el"
-;;;;;;  (18203 37789))
+;;;;;;  (18335 54543))
 ;;; Generated autoloads from progmodes/prolog.el
 
 (autoload 'prolog-mode "prolog" "\
@@ -21651,7 +21433,7 @@ With prefix argument \\[universal-prefix], prompt for the program to use.
 
 ;;;***
 \f
-;;;### (autoloads nil "ps-bdf" "ps-bdf.el" (18088 55088))
+;;;### (autoloads nil "ps-bdf" "ps-bdf.el" (18420 8068))
 ;;; Generated autoloads from ps-bdf.el
 
 (defvar bdf-directory-list (if (memq system-type '(ms-dos windows-nt)) (list (expand-file-name "fonts/bdf" installation-directory)) '("/usr/local/share/emacs/fonts/bdf")) "\
@@ -21660,8 +21442,8 @@ The default value is '(\"/usr/local/share/emacs/fonts/bdf\").")
 
 ;;;***
 \f
-;;;### (autoloads (ps-mode) "ps-mode" "progmodes/ps-mode.el" (18210
-;;;;;;  13716))
+;;;### (autoloads (ps-mode) "ps-mode" "progmodes/ps-mode.el" (18335
+;;;;;;  54543))
 ;;; Generated autoloads from progmodes/ps-mode.el
 
 (autoload 'ps-mode "ps-mode" "\
@@ -21712,8 +21494,8 @@ Typing \\<ps-run-mode-map>\\[ps-run-goto-error] when the cursor is at the number
 ;;;;;;  ps-spool-region ps-spool-buffer-with-faces ps-spool-buffer
 ;;;;;;  ps-print-region-with-faces ps-print-region ps-print-buffer-with-faces
 ;;;;;;  ps-print-buffer ps-print-customize ps-print-color-p ps-paper-type
-;;;;;;  ps-page-dimensions-database) "ps-print" "ps-print.el" (18214
-;;;;;;  4479))
+;;;;;;  ps-page-dimensions-database) "ps-print" "ps-print.el" (18367
+;;;;;;  13960))
 ;;; Generated autoloads from ps-print.el
 
 (defvar ps-page-dimensions-database (list (list 'a4 (/ (* 72 21.0) 2.54) (/ (* 72 29.7) 2.54) "A4") (list 'a3 (/ (* 72 29.7) 2.54) (/ (* 72 42.0) 2.54) "A3") (list 'letter (* 72 8.5) (* 72 11.0) "Letter") (list 'legal (* 72 8.5) (* 72 14.0) "Legal") (list 'letter-small (* 72 7.68) (* 72 10.16) "LetterSmall") (list 'tabloid (* 72 11.0) (* 72 17.0) "Tabloid") (list 'ledger (* 72 17.0) (* 72 11.0) "Ledger") (list 'statement (* 72 5.5) (* 72 8.5) "Statement") (list 'executive (* 72 7.5) (* 72 10.0) "Executive") (list 'a4small (* 72 7.47) (* 72 10.85) "A4Small") (list 'b4 (* 72 10.125) (* 72 14.33) "B4") (list 'b5 (* 72 7.16) (* 72 10.125) "B5")) "\
@@ -21909,8 +21691,8 @@ If EXTENSION is any other symbol, it is ignored.
 
 ;;;***
 \f
-;;;### (autoloads (jython-mode python-mode run-python) "python" "progmodes/python.el"
-;;;;;;  (18208 48754))
+;;;### (autoloads (python-shell jython-mode python-mode run-python)
+;;;;;;  "python" "progmodes/python.el" (18367 13962))
 ;;; Generated autoloads from progmodes/python.el
 
 (add-to-list 'interpreter-mode-alist '("jython" . jython-mode))
@@ -21940,7 +21722,8 @@ buffer for a list of commands.)
 
 (autoload 'python-mode "python" "\
 Major mode for editing Python files.
-Font Lock mode is currently required for correct parsing of the source.
+Turns on Font Lock mode unconditionally since it is currently required
+for correct parsing of the source.
 See also `jython-mode', which is actually invoked if the buffer appears to
 contain Jython code.  See also `run-python' and associated Python mode
 commands for running Python under Emacs.
@@ -21982,10 +21765,50 @@ Runs `jython-mode-hook' after `python-mode-hook'.
 
 \(fn)" t nil)
 
+(autoload 'python-shell "python" "\
+Start an interactive Python interpreter in another window.
+This is like Shell mode, except that Python is running in the window
+instead of a shell.  See the `Interactive Shell' and `Shell Mode'
+sections of the Emacs manual for details, especially for the key
+bindings active in the `*Python*' buffer.
+
+With optional \\[universal-argument], the user is prompted for the
+flags to pass to the Python interpreter.  This has no effect when this
+command is used to switch to an existing process, only when a new
+process is started.  If you use this, you will probably want to ensure
+that the current arguments are retained (they will be included in the
+prompt).  This argument is ignored when this function is called
+programmatically, or when running in Emacs 19.34 or older.
+
+Note: You can toggle between using the CPython interpreter and the
+JPython interpreter by hitting \\[python-toggle-shells].  This toggles
+buffer local variables which control whether all your subshell
+interactions happen to the `*JPython*' or `*Python*' buffers (the
+latter is the name used for the CPython buffer).
+
+Warning: Don't use an interactive Python if you change sys.ps1 or
+sys.ps2 from their default values, or if you're running code that
+prints `>>> ' or `... ' at the start of a line.  `python-mode' can't
+distinguish your output from Python's output, and assumes that `>>> '
+at the start of a line is a prompt from Python.  Similarly, the Emacs
+Shell mode code assumes that both `>>> ' and `... ' at the start of a
+line are Python prompts.  Bad things can happen if you fool either
+mode.
+
+Warning:  If you do any editing *in* the process buffer *while* the
+buffer is accepting output from Python, do NOT attempt to `undo' the
+changes.  Some of the output (nowhere near the parts you changed!) may
+be lost if you do.  This appears to be an Emacs bug, an unfortunate
+interaction between undo and process filters; the same problem exists in
+non-Python process buffers using the default (Emacs-supplied) process
+filter.
+
+\(fn &optional ARGPROMPT)" t nil)
+
 ;;;***
 \f
 ;;;### (autoloads (quoted-printable-decode-region) "qp" "gnus/qp.el"
-;;;;;;  (18212 46007))
+;;;;;;  (18335 54533))
 ;;; Generated autoloads from gnus/qp.el
 
 (autoload 'quoted-printable-decode-region "qp" "\
@@ -22008,7 +21831,7 @@ them into characters should be done separately.
 ;;;;;;  quail-defrule quail-install-decode-map quail-install-map
 ;;;;;;  quail-define-rules quail-show-keyboard-layout quail-set-keyboard-layout
 ;;;;;;  quail-define-package quail-use-package quail-title) "quail"
-;;;;;;  "international/quail.el" (18120 34751))
+;;;;;;  "international/quail.el" (18392 19203))
 ;;; Generated autoloads from international/quail.el
 
 (autoload 'quail-title "quail" "\
@@ -22239,8 +22062,8 @@ of each directory.
 \f
 ;;;### (autoloads (quickurl-list quickurl-list-mode quickurl-edit-urls
 ;;;;;;  quickurl-browse-url-ask quickurl-browse-url quickurl-add-url
-;;;;;;  quickurl-ask quickurl) "quickurl" "net/quickurl.el" (18088
-;;;;;;  55112))
+;;;;;;  quickurl-ask quickurl) "quickurl" "net/quickurl.el" (18335
+;;;;;;  54538))
 ;;; Generated autoloads from net/quickurl.el
 
 (defconst quickurl-reread-hook-postfix "\n;; Local Variables:\n;; eval: (progn (require 'quickurl) (add-hook 'local-write-file-hooks (lambda () (quickurl-read) nil)))\n;; End:\n" "\
@@ -22312,7 +22135,7 @@ Display `quickurl-list' as a formatted list using `quickurl-list-mode'.
 ;;;***
 \f
 ;;;### (autoloads (rcirc-track-minor-mode rcirc-connect rcirc) "rcirc"
-;;;;;;  "net/rcirc.el" (18213 14317))
+;;;;;;  "net/rcirc.el" (18369 28761))
 ;;; Generated autoloads from net/rcirc.el
 
 (autoload 'rcirc "rcirc" "\
@@ -22347,8 +22170,8 @@ Global minor mode for tracking activity in rcirc buffers.
 
 ;;;***
 \f
-;;;### (autoloads (remote-compile) "rcompile" "net/rcompile.el" (18088
-;;;;;;  55112))
+;;;### (autoloads (remote-compile) "rcompile" "net/rcompile.el" (18341
+;;;;;;  12914))
 ;;; Generated autoloads from net/rcompile.el
 
 (autoload 'remote-compile "rcompile" "\
@@ -22360,7 +22183,7 @@ See \\[compile].
 ;;;***
 \f
 ;;;### (autoloads (re-builder) "re-builder" "emacs-lisp/re-builder.el"
-;;;;;;  (18173 8195))
+;;;;;;  (18423 20180))
 ;;; Generated autoloads from emacs-lisp/re-builder.el
 
 (defalias 'regexp-builder 're-builder)
@@ -22372,7 +22195,7 @@ Construct a regexp interactively.
 
 ;;;***
 \f
-;;;### (autoloads (recentf-mode) "recentf" "recentf.el" (18161 20994))
+;;;### (autoloads (recentf-mode) "recentf" "recentf.el" (18335 54515))
 ;;; Generated autoloads from recentf.el
 
 (defvar recentf-mode nil "\
@@ -22399,8 +22222,8 @@ that were operated on recently.
 ;;;### (autoloads (clear-rectangle string-insert-rectangle string-rectangle
 ;;;;;;  delete-whitespace-rectangle open-rectangle insert-rectangle
 ;;;;;;  yank-rectangle kill-rectangle extract-rectangle delete-extract-rectangle
-;;;;;;  delete-rectangle move-to-column-force) "rect" "rect.el" (18088
-;;;;;;  55088))
+;;;;;;  delete-rectangle move-to-column-force) "rect" "rect.el" (18335
+;;;;;;  54515))
 ;;; Generated autoloads from rect.el
 
 (autoload 'move-to-column-force "rect" "\
@@ -22528,8 +22351,8 @@ rectangle which were empty.
 
 ;;;***
 \f
-;;;### (autoloads (refill-mode) "refill" "textmodes/refill.el" (18120
-;;;;;;  34753))
+;;;### (autoloads (refill-mode) "refill" "textmodes/refill.el" (18335
+;;;;;;  54545))
 ;;; Generated autoloads from textmodes/refill.el
 
 (autoload 'refill-mode "refill" "\
@@ -22545,7 +22368,7 @@ refilling if they would cause auto-filling.
 ;;;***
 \f
 ;;;### (autoloads (reftex-reset-scanning-information reftex-mode
-;;;;;;  turn-on-reftex) "reftex" "textmodes/reftex.el" (18203 37790))
+;;;;;;  turn-on-reftex) "reftex" "textmodes/reftex.el" (18402 14034))
 ;;; Generated autoloads from textmodes/reftex.el
 
 (autoload 'turn-on-reftex "reftex" "\
@@ -22595,7 +22418,7 @@ This enforces rescanning the buffer on next use.
 ;;;***
 \f
 ;;;### (autoloads (reftex-citation) "reftex-cite" "textmodes/reftex-cite.el"
-;;;;;;  (18191 7159))
+;;;;;;  (18335 54545))
 ;;; Generated autoloads from textmodes/reftex-cite.el
 
 (autoload 'reftex-citation "reftex-cite" "\
@@ -22625,7 +22448,7 @@ While entering the regexp, completion on knows citation keys is possible.
 ;;;***
 \f
 ;;;### (autoloads (reftex-isearch-minor-mode) "reftex-global" "textmodes/reftex-global.el"
-;;;;;;  (18120 34753))
+;;;;;;  (18402 14034))
 ;;; Generated autoloads from textmodes/reftex-global.el
 
 (autoload 'reftex-isearch-minor-mode "reftex-global" "\
@@ -22642,7 +22465,7 @@ With no argument, this command toggles
 ;;;***
 \f
 ;;;### (autoloads (reftex-index-phrases-mode) "reftex-index" "textmodes/reftex-index.el"
-;;;;;;  (18088 55120))
+;;;;;;  (18402 14034))
 ;;; Generated autoloads from textmodes/reftex-index.el
 
 (autoload 'reftex-index-phrases-mode "reftex-index" "\
@@ -22675,7 +22498,7 @@ Here are all local bindings.
 ;;;***
 \f
 ;;;### (autoloads (reftex-all-document-files) "reftex-parse" "textmodes/reftex-parse.el"
-;;;;;;  (18088 55120))
+;;;;;;  (18335 54545))
 ;;; Generated autoloads from textmodes/reftex-parse.el
 
 (autoload 'reftex-all-document-files "reftex-parse" "\
@@ -22687,8 +22510,8 @@ of master file.
 
 ;;;***
 \f
-;;;### (autoloads nil "reftex-vars" "textmodes/reftex-vars.el" (18088
-;;;;;;  55120))
+;;;### (autoloads nil "reftex-vars" "textmodes/reftex-vars.el" (18402
+;;;;;;  14034))
 ;;; Generated autoloads from textmodes/reftex-vars.el
 (put 'reftex-vref-is-default 'safe-local-variable (lambda (x) (or (stringp x) (symbolp x))))
 (put 'reftex-fref-is-default 'safe-local-variable (lambda (x) (or (stringp x) (symbolp x))))
@@ -22698,7 +22521,7 @@ of master file.
 ;;;***
 \f
 ;;;### (autoloads (regexp-opt-depth regexp-opt) "regexp-opt" "emacs-lisp/regexp-opt.el"
-;;;;;;  (18088 55096))
+;;;;;;  (18428 27944))
 ;;; Generated autoloads from emacs-lisp/regexp-opt.el
 
 (autoload 'regexp-opt "regexp-opt" "\
@@ -22725,7 +22548,40 @@ This means the number of non-shy regexp grouping constructs
 
 ;;;***
 \f
-;;;### (autoloads (repeat) "repeat" "repeat.el" (18187 36839))
+;;;### (autoloads (remember-diary-extract-entries remember-clipboard
+;;;;;;  remember-other-frame remember) "remember" "textmodes/remember.el"
+;;;;;;  (18427 17234))
+;;; Generated autoloads from textmodes/remember.el
+
+(autoload 'remember "remember" "\
+Remember an arbitrary piece of data.
+INITIAL is the text to initially place in the *Remember* buffer,
+or nil to bring up a blank *Remember* buffer.
+
+With a prefix or a visible region, use the region as INITIAL.
+
+\(fn &optional INITIAL)" t nil)
+
+(autoload 'remember-other-frame "remember" "\
+Call `remember' in another frame.
+
+\(fn &optional INITIAL)" t nil)
+
+(autoload 'remember-clipboard "remember" "\
+Remember the contents of the current clipboard.
+Most useful for remembering things from Netscape or other X Windows
+application.
+
+\(fn)" t nil)
+
+(autoload 'remember-diary-extract-entries "remember" "\
+Extract diary entries from the region.
+
+\(fn)" nil nil)
+
+;;;***
+\f
+;;;### (autoloads (repeat) "repeat" "repeat.el" (18335 54516))
 ;;; Generated autoloads from repeat.el
 
 (autoload 'repeat "repeat" "\
@@ -22748,7 +22604,7 @@ recently executed command not bound to an input event\".
 ;;;***
 \f
 ;;;### (autoloads (reporter-submit-bug-report) "reporter" "mail/reporter.el"
-;;;;;;  (18192 17587))
+;;;;;;  (18335 54537))
 ;;; Generated autoloads from mail/reporter.el
 
 (autoload 'reporter-submit-bug-report "reporter" "\
@@ -22780,7 +22636,7 @@ mail-sending package is used for editing and sending the message.
 ;;;***
 \f
 ;;;### (autoloads (reposition-window) "reposition" "reposition.el"
-;;;;;;  (18088 55088))
+;;;;;;  (18335 54516))
 ;;; Generated autoloads from reposition.el
 
 (autoload 'reposition-window "reposition" "\
@@ -22803,12 +22659,11 @@ comments, including the first comment line, are visible), or to make the
 first comment line visible (if point is in a comment).
 
 \(fn &optional ARG)" t nil)
- (define-key esc-map "\C-l" 'reposition-window)
 
 ;;;***
 \f
-;;;### (autoloads (resume-suspend-hook) "resume" "resume.el" (18088
-;;;;;;  55088))
+;;;### (autoloads (resume-suspend-hook) "resume" "resume.el" (18335
+;;;;;;  54516))
 ;;; Generated autoloads from resume.el
 
 (autoload 'resume-suspend-hook "resume" "\
@@ -22819,7 +22674,7 @@ Clear out the file used for transmitting args when Emacs resumes.
 ;;;***
 \f
 ;;;### (autoloads (global-reveal-mode reveal-mode) "reveal" "reveal.el"
-;;;;;;  (18088 55088))
+;;;;;;  (18335 54516))
 ;;; Generated autoloads from reveal.el
 
 (autoload 'reveal-mode "reveal" "\
@@ -22854,7 +22709,7 @@ With zero or negative ARG turn mode off.
 ;;;***
 \f
 ;;;### (autoloads (make-ring ring-p) "ring" "emacs-lisp/ring.el"
-;;;;;;  (18197 21672))
+;;;;;;  (18335 54522))
 ;;; Generated autoloads from emacs-lisp/ring.el
 
 (autoload 'ring-p "ring" "\
@@ -22869,7 +22724,7 @@ Make a ring that can contain SIZE elements.
 
 ;;;***
 \f
-;;;### (autoloads (rlogin) "rlogin" "net/rlogin.el" (18088 55112))
+;;;### (autoloads (rlogin) "rlogin" "net/rlogin.el" (18335 54538))
 ;;; Generated autoloads from net/rlogin.el
  (add-hook 'same-window-regexps "^\\*rlogin-.*\\*\\(\\|<[0-9]+>\\)")
 
@@ -22918,10 +22773,9 @@ variable.
 ;;;;;;  rmail rmail-enable-mime rmail-show-message-hook rmail-confirm-expunge
 ;;;;;;  rmail-secondary-file-regexp rmail-secondary-file-directory
 ;;;;;;  rmail-mail-new-frame rmail-primary-inbox-list rmail-delete-after-output
-;;;;;;  rmail-highlight-face rmail-highlighted-headers rmail-retry-ignored-headers
-;;;;;;  rmail-displayed-headers rmail-ignored-headers rmail-dont-reply-to-names
-;;;;;;  rmail-movemail-variant-p) "rmail" "mail/rmail.el" (18191
-;;;;;;  7159))
+;;;;;;  rmail-highlighted-headers rmail-retry-ignored-headers rmail-displayed-headers
+;;;;;;  rmail-ignored-headers rmail-dont-reply-to-names rmail-movemail-variant-p)
+;;;;;;  "rmail" "mail/rmail.el" (18364 62105))
 ;;; Generated autoloads from mail/rmail.el
 
 (autoload 'rmail-movemail-variant-p "rmail" "\
@@ -22970,16 +22824,10 @@ If nil, display all header fields except those matched by
 
 (defvar rmail-highlighted-headers "^From:\\|^Subject:" "\
 *Regexp to match Header fields that Rmail should normally highlight.
-A value of nil means don't highlight.
-See also `rmail-highlight-face'.")
+A value of nil means don't highlight.")
 
 (custom-autoload 'rmail-highlighted-headers "rmail" t)
 
-(defvar rmail-highlight-face 'rmail-highlight "\
-*Face used by Rmail for highlighting headers.")
-
-(custom-autoload 'rmail-highlight-face "rmail" t)
-
 (defvar rmail-delete-after-output nil "\
 *Non-nil means automatically delete a message that is copied to a file.")
 
@@ -23186,7 +23034,7 @@ Set PASSWORD to be used for retrieving mail from a POP or IMAP server.
 ;;;***
 \f
 ;;;### (autoloads (rmail-edit-current-message) "rmailedit" "mail/rmailedit.el"
-;;;;;;  (18088 55110))
+;;;;;;  (18335 54537))
 ;;; Generated autoloads from mail/rmailedit.el
 
 (autoload 'rmail-edit-current-message "rmailedit" "\
@@ -23198,7 +23046,7 @@ Edit the contents of this message.
 \f
 ;;;### (autoloads (rmail-next-labeled-message rmail-previous-labeled-message
 ;;;;;;  rmail-read-label rmail-kill-label rmail-add-label) "rmailkwd"
-;;;;;;  "mail/rmailkwd.el" (18088 55110))
+;;;;;;  "mail/rmailkwd.el" (18335 54537))
 ;;; Generated autoloads from mail/rmailkwd.el
 
 (autoload 'rmail-add-label "rmailkwd" "\
@@ -23237,7 +23085,7 @@ With prefix argument N moves forward N messages with these labels.
 ;;;***
 \f
 ;;;### (autoloads (set-rmail-inbox-list) "rmailmsc" "mail/rmailmsc.el"
-;;;;;;  (18088 55110))
+;;;;;;  (18335 54537))
 ;;; Generated autoloads from mail/rmailmsc.el
 
 (autoload 'set-rmail-inbox-list "rmailmsc" "\
@@ -23251,7 +23099,7 @@ If FILE-NAME is empty, remove any existing inbox list.
 \f
 ;;;### (autoloads (rmail-output-body-to-file rmail-output rmail-fields-not-to-output
 ;;;;;;  rmail-output-to-rmail-file rmail-output-file-alist) "rmailout"
-;;;;;;  "mail/rmailout.el" (18088 55110))
+;;;;;;  "mail/rmailout.el" (18335 54537))
 ;;; Generated autoloads from mail/rmailout.el
 
 (defvar rmail-output-file-alist nil "\
@@ -23318,8 +23166,8 @@ FILE-NAME defaults, interactively, from the Subject field of the message.
 \f
 ;;;### (autoloads (rmail-sort-by-labels rmail-sort-by-lines rmail-sort-by-correspondent
 ;;;;;;  rmail-sort-by-recipient rmail-sort-by-author rmail-sort-by-subject
-;;;;;;  rmail-sort-by-date) "rmailsort" "mail/rmailsort.el" (18088
-;;;;;;  55110))
+;;;;;;  rmail-sort-by-date) "rmailsort" "mail/rmailsort.el" (18335
+;;;;;;  54537))
 ;;; Generated autoloads from mail/rmailsort.el
 
 (autoload 'rmail-sort-by-date "rmailsort" "\
@@ -23371,7 +23219,7 @@ KEYWORDS is a comma-separated list of labels.
 ;;;;;;  rmail-summary-by-senders rmail-summary-by-topic rmail-summary-by-regexp
 ;;;;;;  rmail-summary-by-recipients rmail-summary-by-labels rmail-summary
 ;;;;;;  rmail-summary-line-count-flag rmail-summary-scroll-between-messages)
-;;;;;;  "rmailsum" "mail/rmailsum.el" (18101 9759))
+;;;;;;  "rmailsum" "mail/rmailsum.el" (18335 54537))
 ;;; Generated autoloads from mail/rmailsum.el
 
 (defvar rmail-summary-scroll-between-messages t "\
@@ -23410,50 +23258,166 @@ If the regular expression is found in the header of the message
 \(including in the date and other lines, as well as the subject line),
 Emacs will list the header line in the RMAIL-summary.
 
-\(fn REGEXP)" t nil)
+\(fn REGEXP)" t nil)
+
+(autoload 'rmail-summary-by-topic "rmailsum" "\
+Display a summary of all messages with the given SUBJECT.
+Normally checks the Subject field of headers;
+but if WHOLE-MESSAGE is non-nil (prefix arg given),
+ look in the whole message.
+SUBJECT is a string of regexps separated by commas.
+
+\(fn SUBJECT &optional WHOLE-MESSAGE)" t nil)
+
+(autoload 'rmail-summary-by-senders "rmailsum" "\
+Display a summary of all messages with the given SENDERS.
+SENDERS is a string of names separated by commas.
+
+\(fn SENDERS)" t nil)
+
+(defvar rmail-summary-line-decoder #'identity "\
+*Function to decode summary-line.
+
+By default, `identity' is set.")
+
+(custom-autoload 'rmail-summary-line-decoder "rmailsum" t)
+
+(defvar rmail-user-mail-address-regexp nil "\
+*Regexp matching user mail addresses.
+If non-nil, this variable is used to identify the correspondent
+when receiving new mail.  If it matches the address of the sender,
+the recipient is taken as correspondent of a mail.
+If nil (default value), your `user-login-name' and `user-mail-address'
+are used to exclude yourself as correspondent.
+
+Usually you don't have to set this variable, except if you collect mails
+sent by you under different user names.
+Then it should be a regexp matching your mail addresses.
+
+Setting this variable has an effect only before reading a mail.")
+
+(custom-autoload 'rmail-user-mail-address-regexp "rmailsum" t)
+
+;;;***
+\f
+;;;### (autoloads (rng-c-load-schema) "rng-cmpct" "nxml/rng-cmpct.el"
+;;;;;;  (18335 54540))
+;;; Generated autoloads from nxml/rng-cmpct.el
+
+(autoload 'rng-c-load-schema "rng-cmpct" "\
+Load a schema in RELAX NG compact syntax from FILENAME.
+Return a pattern.
+
+\(fn FILENAME)" nil nil)
+
+;;;***
+\f
+;;;### (autoloads (rng-nxml-mode-init) "rng-nxml" "nxml/rng-nxml.el"
+;;;;;;  (18381 59318))
+;;; Generated autoloads from nxml/rng-nxml.el
+
+(autoload 'rng-nxml-mode-init "rng-nxml" "\
+Initialize `nxml-mode' to take advantage of `rng-validate-mode'.
+This is typically called from `nxml-mode-hook'.
+Validation will be enabled if `rng-nxml-auto-validate-flag' is non-nil.
+
+\(fn)" t nil)
+
+;;;***
+\f
+;;;### (autoloads (rng-validate-mode) "rng-valid" "nxml/rng-valid.el"
+;;;;;;  (18335 54540))
+;;; Generated autoloads from nxml/rng-valid.el
+
+(autoload 'rng-validate-mode "rng-valid" "\
+Minor mode performing continual validation against a RELAX NG schema.
+
+Checks whether the buffer is a well-formed XML 1.0 document,
+conforming to the XML Namespaces Recommendation and valid against a
+RELAX NG schema. The mode-line indicates whether it is or not.  Any
+parts of the buffer that cause it not to be are considered errors and
+are highlighted with face `rng-error'. A description of each error is
+available as a tooltip.  \\[rng-next-error] goes to the next error
+after point. Clicking mouse-1 on the word `Invalid' in the mode-line
+goes to the first error in the buffer. If the buffer changes, then it
+will be automatically rechecked when Emacs becomes idle; the
+rechecking will be paused whenever there is input pending..
+
+By default, uses a vacuous schema that allows any well-formed XML
+document. A schema can be specified explictly using
+\\[rng-set-schema-file-and-validate], or implicitly based on the buffer's
+file name or on the root element name.  In each case the schema must
+be a RELAX NG schema using the compact schema (such schemas
+conventionally have a suffix of `.rnc').  The variable
+`rng-schema-locating-files' specifies files containing rules
+to use for finding the schema.
+
+\(fn &optional ARG NO-CHANGE-SCHEMA)" t nil)
+
+;;;***
+\f
+;;;### (autoloads (rng-xsd-compile) "rng-xsd" "nxml/rng-xsd.el" (18335
+;;;;;;  54541))
+;;; Generated autoloads from nxml/rng-xsd.el
+
+(put 'http://www\.w3\.org/2001/XMLSchema-datatypes 'rng-dt-compile 'rng-xsd-compile)
 
-(autoload 'rmail-summary-by-topic "rmailsum" "\
-Display a summary of all messages with the given SUBJECT.
-Normally checks the Subject field of headers;
-but if WHOLE-MESSAGE is non-nil (prefix arg given),
- look in the whole message.
-SUBJECT is a string of regexps separated by commas.
+(autoload 'rng-xsd-compile "rng-xsd" "\
+Provides W3C XML Schema as a RELAX NG datatypes library. NAME is a
+symbol giving the local name of the datatype.  PARAMS is a list of
+pairs (PARAM-NAME . PARAM-VALUE) where PARAM-NAME is a symbol giving
+the name of the parameter and PARAM-VALUE is a string giving its
+value.  If NAME or PARAMS are invalid, it calls rng-dt-error passing
+it arguments in the same style as format; the value from rng-dt-error
+will be returned.  Otherwise, it returns a list.  The first member of
+the list is t if any string is a legal value for the datatype and nil
+otherwise.  The second argument is a symbol; this symbol will be
+called as a function passing it a string followed by the remaining
+members of the list.  The function must return an object representing
+the value of the datatype that was represented by the string, or nil
+if the string is not a representation of any value. The object
+returned can be any convenient non-nil value, provided that, if two
+strings represent the same value, the returned objects must be equal.
 
-\(fn SUBJECT &optional WHOLE-MESSAGE)" t nil)
+\(fn NAME PARAMS)" nil nil)
 
-(autoload 'rmail-summary-by-senders "rmailsum" "\
-Display a summary of all messages with the given SENDERS.
-SENDERS is a string of names separated by commas.
+;;;***
+\f
+;;;### (autoloads (robin-use-package robin-modify-package robin-define-package)
+;;;;;;  "robin" "international/robin.el" (18340 25864))
+;;; Generated autoloads from international/robin.el
 
-\(fn SENDERS)" t nil)
+(autoload 'robin-define-package "robin" "\
+Define a robin package.
 
-(defvar rmail-summary-line-decoder #'identity "\
-*Function to decode summary-line.
+NAME is the string of this robin package.
+DOCSTRING is the documentation string of this robin package.
+Each RULE is of the form (INPUT OUTPUT) where INPUT is a string and
+OUTPUT is either a character or a string.  RULES are not evaluated.
 
-By default, `identity' is set.")
+If there already exists a robin package whose name is NAME, the new
+one replaces the old one.
 
-(custom-autoload 'rmail-summary-line-decoder "rmailsum" t)
+\(fn NAME DOCSTRING &rest RULES)" nil (quote macro))
 
-(defvar rmail-user-mail-address-regexp nil "\
-*Regexp matching user mail addresses.
-If non-nil, this variable is used to identify the correspondent
-when receiving new mail.  If it matches the address of the sender,
-the recipient is taken as correspondent of a mail.
-If nil (default value), your `user-login-name' and `user-mail-address'
-are used to exclude yourself as correspondent.
+(autoload 'robin-modify-package "robin" "\
+Change a rule in an already defined robin package.
 
-Usually you don't have to set this variable, except if you collect mails
-sent by you under different user names.
-Then it should be a regexp matching your mail addresses.
+NAME is the string specifying a robin package.
+INPUT is a string that specifies the input pattern.
+OUTPUT is either a character or a string to be generated.
 
-Setting this variable has an effect only before reading a mail.")
+\(fn NAME INPUT OUTPUT)" nil nil)
 
-(custom-autoload 'rmail-user-mail-address-regexp "rmailsum" t)
+(autoload 'robin-use-package "robin" "\
+Start using robin package NAME, which is a string.
+
+\(fn NAME)" nil nil)
 
 ;;;***
 \f
 ;;;### (autoloads (toggle-rot13-mode rot13-other-window rot13-region
-;;;;;;  rot13-string rot13) "rot13" "rot13.el" (18088 55088))
+;;;;;;  rot13-string rot13) "rot13" "rot13.el" (18335 54516))
 ;;; Generated autoloads from rot13.el
 
 (autoload 'rot13 "rot13" "\
@@ -23490,8 +23454,8 @@ Toggle the use of ROT13 encoding for the current window.
 
 ;;;***
 \f
-;;;### (autoloads (ruler-mode) "ruler-mode" "ruler-mode.el" (18088
-;;;;;;  55088))
+;;;### (autoloads (ruler-mode) "ruler-mode" "ruler-mode.el" (18341
+;;;;;;  12905))
 ;;; Generated autoloads from ruler-mode.el
 
 (autoload 'ruler-mode "ruler-mode" "\
@@ -23501,8 +23465,8 @@ Display a ruler in the header line if ARG > 0.
 
 ;;;***
 \f
-;;;### (autoloads (rx rx-to-string) "rx" "emacs-lisp/rx.el" (18142
-;;;;;;  63529))
+;;;### (autoloads (rx rx-to-string) "rx" "emacs-lisp/rx.el" (18335
+;;;;;;  54522))
 ;;; Generated autoloads from emacs-lisp/rx.el
 
 (autoload 'rx-to-string "rx" "\
@@ -23815,7 +23779,7 @@ enclosed in `(and ...)'.
 ;;;***
 \f
 ;;;### (autoloads (savehist-mode savehist-mode) "savehist" "savehist.el"
-;;;;;;  (18211 32385))
+;;;;;;  (18301 39792))
 ;;; Generated autoloads from savehist.el
 
 (defvar savehist-mode nil "\
@@ -23841,7 +23805,7 @@ which is probably undesirable.
 ;;;***
 \f
 ;;;### (autoloads (dsssl-mode scheme-mode) "scheme" "progmodes/scheme.el"
-;;;;;;  (18120 34753))
+;;;;;;  (18369 28763))
 ;;; Generated autoloads from progmodes/scheme.el
 
 (autoload 'scheme-mode "scheme" "\
@@ -23883,7 +23847,7 @@ that variable's value is a string.
 ;;;***
 \f
 ;;;### (autoloads (gnus-score-mode) "score-mode" "gnus/score-mode.el"
-;;;;;;  (18212 46007))
+;;;;;;  (18335 54533))
 ;;; Generated autoloads from gnus/score-mode.el
 
 (autoload 'gnus-score-mode "score-mode" "\
@@ -23897,7 +23861,7 @@ This mode is an extended emacs-lisp mode.
 ;;;***
 \f
 ;;;### (autoloads (scroll-all-mode) "scroll-all" "scroll-all.el"
-;;;;;;  (18088 55088))
+;;;;;;  (18335 54516))
 ;;; Generated autoloads from scroll-all.el
 
 (defvar scroll-all-mode nil "\
@@ -23920,7 +23884,7 @@ apply to all visible windows in the same frame.
 ;;;***
 \f
 ;;;### (autoloads (scroll-lock-mode) "scroll-lock" "scroll-lock.el"
-;;;;;;  (18202 3996))
+;;;;;;  (18335 54516))
 ;;; Generated autoloads from scroll-lock.el
 
 (autoload 'scroll-lock-mode "scroll-lock" "\
@@ -23942,7 +23906,7 @@ during scrolling.
 ;;;;;;  mail-alias-file mail-default-reply-to mail-archive-file-name
 ;;;;;;  mail-header-separator send-mail-function mail-interactive
 ;;;;;;  mail-self-blind mail-specify-envelope-from mail-from-style)
-;;;;;;  "sendmail" "mail/sendmail.el" (18197 21674))
+;;;;;;  "sendmail" "mail/sendmail.el" (18341 12913))
 ;;; Generated autoloads from mail/sendmail.el
 
 (defvar mail-from-style 'angles "\
@@ -24243,7 +24207,7 @@ Like `mail' command, but display mail buffer in another frame.
 ;;;***
 \f
 ;;;### (autoloads (server-save-buffers-kill-terminal server-mode
-;;;;;;  server-start) "server" "server.el" (18213 13926))
+;;;;;;  server-start) "server" "server.el" (18423 51563))
 ;;; Generated autoloads from server.el
 
 (autoload 'server-start "server" "\
@@ -24287,7 +24251,7 @@ only these files will be asked to be saved.
 
 ;;;***
 \f
-;;;### (autoloads (ses-mode) "ses" "ses.el" (18209 8664))
+;;;### (autoloads (ses-mode) "ses" "ses.el" (18335 54516))
 ;;; Generated autoloads from ses.el
 
 (autoload 'ses-mode "ses" "\
@@ -24306,7 +24270,7 @@ These are active only in the minibuffer, when entering or editing a formula:
 ;;;***
 \f
 ;;;### (autoloads (html-mode sgml-mode) "sgml-mode" "textmodes/sgml-mode.el"
-;;;;;;  (18120 34753))
+;;;;;;  (18391 43731))
 ;;; Generated autoloads from textmodes/sgml-mode.el
 
 (autoload 'sgml-mode "sgml-mode" "\
@@ -24374,7 +24338,7 @@ To work around that, do:
 ;;;***
 \f
 ;;;### (autoloads (sh-mode) "sh-script" "progmodes/sh-script.el"
-;;;;;;  (18173 8196))
+;;;;;;  (18425 32959))
 ;;; Generated autoloads from progmodes/sh-script.el
 (put 'sh-shell 'safe-local-variable 'symbolp)
 
@@ -24438,8 +24402,8 @@ with your script for an edit-interpret-debug cycle.
 
 ;;;***
 \f
-;;;### (autoloads (sha1) "sha1" "gnus/sha1.el" (18130 62048))
-;;; Generated autoloads from gnus/sha1.el
+;;;### (autoloads (sha1) "sha1" "sha1.el" (18392 19203))
+;;; Generated autoloads from sha1.el
 
 (autoload 'sha1 "sha1" "\
 Return the SHA1 (Secure Hash Algorithm) of an object.
@@ -24453,7 +24417,7 @@ If BINARY is non-nil, return a string in binary form.
 ;;;***
 \f
 ;;;### (autoloads (list-load-path-shadows) "shadow" "emacs-lisp/shadow.el"
-;;;;;;  (18088 55096))
+;;;;;;  (18335 54522))
 ;;; Generated autoloads from emacs-lisp/shadow.el
 
 (autoload 'list-load-path-shadows "shadow" "\
@@ -24500,8 +24464,8 @@ buffer called `*Shadows*'.  Shadowings are located by calling the
 ;;;***
 \f
 ;;;### (autoloads (shadow-initialize shadow-define-regexp-group shadow-define-literal-group
-;;;;;;  shadow-define-cluster) "shadowfile" "shadowfile.el" (18120
-;;;;;;  34749))
+;;;;;;  shadow-define-cluster) "shadowfile" "shadowfile.el" (18335
+;;;;;;  54516))
 ;;; Generated autoloads from shadowfile.el
 
 (autoload 'shadow-define-cluster "shadowfile" "\
@@ -24509,8 +24473,8 @@ Edit (or create) the definition of a cluster NAME.
 This is a group of hosts that share directories, so that copying to or from
 one of them is sufficient to update the file on all of them.  Clusters are
 defined by a name, the network address of a primary host (the one we copy
-files to), and a regular expression that matches the hostnames of all the sites
-in the cluster.
+files to), and a regular expression that matches the hostnames of all the
+sites in the cluster.
 
 \(fn NAME)" t nil)
 
@@ -24526,8 +24490,8 @@ specific hostnames, or names of clusters (see `shadow-define-cluster').
 Make each of a group of files be shared between hosts.
 Prompts for regular expression; files matching this are shared between a list
 of sites, which are also prompted for.  The filenames must be identical on all
-hosts (if they aren't, use `shadow-define-literal-group' instead of this function).
-Each site can be either a hostname or the name of a cluster (see
+hosts (if they aren't, use `shadow-define-literal-group' instead of this
+function).  Each site can be either a hostname or the name of a cluster (see
 `shadow-define-cluster').
 
 \(fn)" t nil)
@@ -24540,7 +24504,7 @@ Set up file shadowing.
 ;;;***
 \f
 ;;;### (autoloads (shell shell-dumb-shell-regexp) "shell" "shell.el"
-;;;;;;  (18212 46004))
+;;;;;;  (18420 43984))
 ;;; Generated autoloads from shell.el
 
 (defvar shell-dumb-shell-regexp "cmd\\(proxy\\)?\\.exe" "\
@@ -24590,7 +24554,7 @@ Otherwise, one argument `-i' is passed to the shell.
 ;;;***
 \f
 ;;;### (autoloads (sieve-upload-and-bury sieve-upload sieve-manage)
-;;;;;;  "sieve" "gnus/sieve.el" (18212 46007))
+;;;;;;  "sieve" "gnus/sieve.el" (18335 54533))
 ;;; Generated autoloads from gnus/sieve.el
 
 (autoload 'sieve-manage "sieve" "\
@@ -24611,7 +24575,7 @@ Not documented
 ;;;***
 \f
 ;;;### (autoloads (sieve-mode) "sieve-mode" "gnus/sieve-mode.el"
-;;;;;;  (18212 46007))
+;;;;;;  (18335 54533))
 ;;; Generated autoloads from gnus/sieve-mode.el
 
 (autoload 'sieve-mode "sieve-mode" "\
@@ -24626,14 +24590,8 @@ Turning on Sieve mode runs `sieve-mode-hook'.
 
 ;;;***
 \f
-;;;### (autoloads nil "simple" "simple.el" (18208 48751))
-;;; Generated autoloads from simple.el
-(put 'fill-prefix 'safe-local-variable 'string-or-null-p)
-
-;;;***
-\f
-;;;### (autoloads (simula-mode) "simula" "progmodes/simula.el" (18088
-;;;;;;  55117))
+;;;### (autoloads (simula-mode) "simula" "progmodes/simula.el" (18335
+;;;;;;  54543))
 ;;; Generated autoloads from progmodes/simula.el
 
 (autoload 'simula-mode "simula" "\
@@ -24682,7 +24640,7 @@ with no arguments, if that value is non-nil.
 ;;;***
 \f
 ;;;### (autoloads (skeleton-pair-insert-maybe skeleton-insert skeleton-proxy-new
-;;;;;;  define-skeleton) "skeleton" "skeleton.el" (18120 34749))
+;;;;;;  define-skeleton) "skeleton" "skeleton.el" (18341 12905))
 ;;; Generated autoloads from skeleton.el
 
 (defvar skeleton-filter-function 'identity "\
@@ -24791,8 +24749,8 @@ symmetrical ones, and the same character twice for the others.
 
 ;;;***
 \f
-;;;### (autoloads (smerge-mode smerge-ediff) "smerge-mode" "smerge-mode.el"
-;;;;;;  (18202 3996))
+;;;### (autoloads (smerge-start-session smerge-mode smerge-ediff)
+;;;;;;  "smerge-mode" "smerge-mode.el" (18416 36501))
 ;;; Generated autoloads from smerge-mode.el
 
 (autoload 'smerge-ediff "smerge-mode" "\
@@ -24808,10 +24766,16 @@ Minor mode to simplify editing output from the diff3 program.
 
 \(fn &optional ARG)" t nil)
 
+(autoload 'smerge-start-session "smerge-mode" "\
+Turn on `smerge-mode' and move point to first conflict marker.
+If no conflict maker is found, turn off `smerge-mode'.
+
+\(fn)" nil nil)
+
 ;;;***
 \f
 ;;;### (autoloads (smiley-buffer smiley-region) "smiley" "gnus/smiley.el"
-;;;;;;  (18212 46007))
+;;;;;;  (18375 34229))
 ;;; Generated autoloads from gnus/smiley.el
 
 (autoload 'smiley-region "smiley" "\
@@ -24829,7 +24793,7 @@ interactively. If there's no argument, do it at the current buffer
 ;;;***
 \f
 ;;;### (autoloads (smtpmail-send-queued-mail smtpmail-send-it) "smtpmail"
-;;;;;;  "mail/smtpmail.el" (18088 55110))
+;;;;;;  "mail/smtpmail.el" (18369 20325))
 ;;; Generated autoloads from mail/smtpmail.el
 
 (autoload 'smtpmail-send-it "smtpmail" "\
@@ -24844,7 +24808,7 @@ Send mail that was queued as a result of setting `smtpmail-queue-mail'.
 
 ;;;***
 \f
-;;;### (autoloads (snake) "snake" "play/snake.el" (18088 55114))
+;;;### (autoloads (snake) "snake" "play/snake.el" (18335 54541))
 ;;; Generated autoloads from play/snake.el
 
 (autoload 'snake "snake" "\
@@ -24868,7 +24832,7 @@ Snake mode keybindings:
 ;;;***
 \f
 ;;;### (autoloads (snmpv2-mode snmp-mode) "snmp-mode" "net/snmp-mode.el"
-;;;;;;  (18161 20995))
+;;;;;;  (18335 54538))
 ;;; Generated autoloads from net/snmp-mode.el
 
 (autoload 'snmp-mode "snmp-mode" "\
@@ -24897,81 +24861,24 @@ then `snmpv2-mode-hook'.
 
 ;;;***
 \f
-;;;### (autoloads (solar-equinoxes-solstices sunrise-sunset calendar-location-name
-;;;;;;  calendar-longitude calendar-latitude calendar-time-display-form)
-;;;;;;  "solar" "calendar/solar.el" (18088 55094))
+;;;### (autoloads (sunrise-sunset) "solar" "calendar/solar.el" (18426
+;;;;;;  29950))
 ;;; Generated autoloads from calendar/solar.el
 
-(defvar calendar-time-display-form '(12-hours ":" minutes am-pm (if time-zone " (") time-zone (if time-zone ")")) "\
-*The pseudo-pattern that governs the way a time of day is formatted.
-
-A pseudo-pattern is a list of expressions that can involve the keywords
-`12-hours', `24-hours', and `minutes', all numbers in string form,
-and `am-pm' and `time-zone', both alphabetic strings.
-
-For example, the form
-
-  '(24-hours \":\" minutes
-    (if time-zone \" (\") time-zone (if time-zone \")\"))
-
-would give military-style times like `21:07 (UTC)'.")
-
-(custom-autoload 'calendar-time-display-form "solar" t)
-
-(defvar calendar-latitude nil "\
-*Latitude of `calendar-location-name' in degrees.
-
-The value can be either a decimal fraction (one place of accuracy is
-sufficient), + north, - south, such as 40.7 for New York City, or the value
-can be a vector [degrees minutes north/south] such as [40 50 north] for New
-York City.
-
-This variable should be set in `site-start'.el.")
-
-(custom-autoload 'calendar-latitude "solar" t)
-
-(defvar calendar-longitude nil "\
-*Longitude of `calendar-location-name' in degrees.
-
-The value can be either a decimal fraction (one place of accuracy is
-sufficient), + east, - west, such as -73.9 for New York City, or the value
-can be a vector [degrees minutes east/west] such as [73 55 west] for New
-York City.
-
-This variable should be set in `site-start'.el.")
-
-(custom-autoload 'calendar-longitude "solar" t)
-
-(defvar calendar-location-name '(let ((float-output-format "%.1f")) (format "%s%s, %s%s" (if (numberp calendar-latitude) (abs calendar-latitude) (+ (aref calendar-latitude 0) (/ (aref calendar-latitude 1) 60.0))) (if (numberp calendar-latitude) (if (> calendar-latitude 0) "N" "S") (if (equal (aref calendar-latitude 2) 'north) "N" "S")) (if (numberp calendar-longitude) (abs calendar-longitude) (+ (aref calendar-longitude 0) (/ (aref calendar-longitude 1) 60.0))) (if (numberp calendar-longitude) (if (> calendar-longitude 0) "E" "W") (if (equal (aref calendar-longitude 2) 'east) "E" "W")))) "\
-*Expression evaluating to name of `calendar-longitude', `calendar-latitude'.
-For example, \"New York City\".  Default value is just the latitude, longitude
-pair.
-
-This variable should be set in `site-start'.el.")
-
-(custom-autoload 'calendar-location-name "solar" t)
-
 (autoload 'sunrise-sunset "solar" "\
 Local time of sunrise and sunset for today.  Accurate to a few seconds.
-If called with an optional prefix argument, prompt for date.
-
-If called with an optional double prefix argument, prompt for longitude,
-latitude, time zone, and date, and always use standard time.
+If called with an optional prefix argument ARG, prompt for date.
+If called with an optional double prefix argument, prompt for
+longitude, latitude, time zone, and date, and always use standard time.
 
 This function is suitable for execution in a .emacs file.
 
 \(fn &optional ARG)" t nil)
 
-(autoload 'solar-equinoxes-solstices "solar" "\
-*local* date and time of equinoxes and solstices, if visible in the calendar window.
-Requires floating point.
-
-\(fn)" nil nil)
-
 ;;;***
 \f
-;;;### (autoloads (solitaire) "solitaire" "play/solitaire.el" (18173
-;;;;;;  8195))
+;;;### (autoloads (solitaire) "solitaire" "play/solitaire.el" (18335
+;;;;;;  54541))
 ;;; Generated autoloads from play/solitaire.el
 
 (autoload 'solitaire "solitaire" "\
@@ -25048,7 +24955,7 @@ Pick your favourite shortcuts:
 \f
 ;;;### (autoloads (reverse-region sort-columns sort-regexp-fields
 ;;;;;;  sort-fields sort-numeric-fields sort-pages sort-paragraphs
-;;;;;;  sort-lines sort-subr) "sort" "sort.el" (18128 32655))
+;;;;;;  sort-lines sort-subr) "sort" "sort.el" (18403 34899))
 ;;; Generated autoloads from sort.el
 (put 'sort-fold-case 'safe-local-variable 'booleanp)
 
@@ -25192,16 +25099,16 @@ From a program takes two point or marker arguments, BEG and END.
 
 ;;;***
 \f
-;;;### (autoloads (spam-initialize) "spam" "gnus/spam.el" (18212
-;;;;;;  46007))
+;;;### (autoloads (spam-initialize) "spam" "gnus/spam.el" (18335
+;;;;;;  54533))
 ;;; Generated autoloads from gnus/spam.el
 
 (autoload 'spam-initialize "spam" "\
 Install the spam.el hooks and do other initialization.
 When SYMBOLS is given, set those variables to t.  This is so you
-can call spam-initialize before you set spam-use-* variables on
+can call `spam-initialize' before you set spam-use-* variables on
 explicitly, and matters only if you need the extra headers
-installed through spam-necessary-extra-headers.
+installed through `spam-necessary-extra-headers'.
 
 \(fn &rest SYMBOLS)" t nil)
 
@@ -25209,7 +25116,7 @@ installed through spam-necessary-extra-headers.
 \f
 ;;;### (autoloads (spam-report-deagentize spam-report-agentize spam-report-url-to-file
 ;;;;;;  spam-report-url-ping-mm-url spam-report-process-queue) "spam-report"
-;;;;;;  "gnus/spam-report.el" (18212 46007))
+;;;;;;  "gnus/spam-report.el" (18375 34229))
 ;;; Generated autoloads from gnus/spam-report.el
 
 (autoload 'spam-report-process-queue "spam-report" "\
@@ -25252,7 +25159,7 @@ Spam reports will be queued with the method used when
 ;;;***
 \f
 ;;;### (autoloads (speedbar-get-focus speedbar-frame-mode) "speedbar"
-;;;;;;  "speedbar.el" (18164 30590))
+;;;;;;  "speedbar.el" (18335 54516))
 ;;; Generated autoloads from speedbar.el
 
 (defalias 'speedbar 'speedbar-frame-mode)
@@ -25277,7 +25184,7 @@ selected.  If the speedbar frame is active, then select the attached frame.
 ;;;***
 \f
 ;;;### (autoloads (spell-string spell-region spell-word spell-buffer)
-;;;;;;  "spell" "textmodes/spell.el" (18088 55120))
+;;;;;;  "spell" "textmodes/spell.el" (18367 13963))
 ;;; Generated autoloads from textmodes/spell.el
 
 (put 'spell-filter 'risky-local-variable t)
@@ -25291,6 +25198,8 @@ as its \"correct\" spelling; then the query replace is skipped.
 
 \(fn)" t nil)
 
+(make-obsolete 'spell-buffer 'ispell-buffer "23.1")
+
 (autoload 'spell-word "spell" "\
 Check spelling of word at or before point.
 If it is not correct, ask user for the correct spelling
@@ -25298,6 +25207,8 @@ and `query-replace' the entire buffer to substitute it.
 
 \(fn)" t nil)
 
+(make-obsolete 'spell-word 'ispell-word "23.1")
+
 (autoload 'spell-region "spell" "\
 Like `spell-buffer' but applies only to region.
 Used in a program, applies from START to END.
@@ -25306,15 +25217,19 @@ for example, \"word\".
 
 \(fn START END &optional DESCRIPTION)" t nil)
 
+(make-obsolete 'spell-region 'ispell-region "23.1")
+
 (autoload 'spell-string "spell" "\
 Check spelling of string supplied as argument.
 
 \(fn STRING)" t nil)
 
+(make-obsolete 'spell-string "The `spell' package is obsolete - use `ispell'." "23.1")
+
 ;;;***
 \f
-;;;### (autoloads (snarf-spooks spook) "spook" "play/spook.el" (18088
-;;;;;;  55114))
+;;;### (autoloads (snarf-spooks spook) "spook" "play/spook.el" (18367
+;;;;;;  22976))
 ;;; Generated autoloads from play/spook.el
 
 (autoload 'spook "spook" "\
@@ -25332,8 +25247,8 @@ Return a vector containing the lines from `spook-phrases-file'.
 ;;;### (autoloads (sql-linter sql-db2 sql-interbase sql-postgres
 ;;;;;;  sql-ms sql-ingres sql-solid sql-mysql sql-sqlite sql-informix
 ;;;;;;  sql-sybase sql-oracle sql-product-interactive sql-mode sql-help
-;;;;;;  sql-add-product-keywords) "sql" "progmodes/sql.el" (18173
-;;;;;;  8196))
+;;;;;;  sql-add-product-keywords) "sql" "progmodes/sql.el" (18335
+;;;;;;  54543))
 ;;; Generated autoloads from progmodes/sql.el
 
 (autoload 'sql-add-product-keywords "sql" "\
@@ -25764,8 +25679,8 @@ input.  See `sql-interactive-mode'.
 ;;;;;;  strokes-mode strokes-list-strokes strokes-load-user-strokes
 ;;;;;;  strokes-help strokes-describe-stroke strokes-do-complex-stroke
 ;;;;;;  strokes-do-stroke strokes-read-complex-stroke strokes-read-stroke
-;;;;;;  strokes-global-set-stroke) "strokes" "strokes.el" (18120
-;;;;;;  34749))
+;;;;;;  strokes-global-set-stroke) "strokes" "strokes.el" (18335
+;;;;;;  54516))
 ;;; Generated autoloads from strokes.el
 
 (autoload 'strokes-global-set-stroke "strokes" "\
@@ -25875,7 +25790,7 @@ Read a complex stroke and insert its glyph into the current buffer.
 ;;;***
 \f
 ;;;### (autoloads (studlify-buffer studlify-word studlify-region)
-;;;;;;  "studly" "play/studly.el" (16268 23254))
+;;;;;;  "studly" "play/studly.el" (16211 27038))
 ;;; Generated autoloads from play/studly.el
 
 (autoload 'studlify-region "studly" "\
@@ -25893,31 +25808,10 @@ Studlify-case the current buffer.
 
 \(fn)" t nil)
 
-;;;***
-\f
-;;;### (autoloads (locate-library) "subr" "subr.el" (18211 32385))
-;;; Generated autoloads from subr.el
-
-(autoload 'locate-library "subr" "\
-Show the precise file name of Emacs library LIBRARY.
-This command searches the directories in `load-path' like `\\[load-library]'
-to find the file that `\\[load-library] RET LIBRARY RET' would load.
-Optional second arg NOSUFFIX non-nil means don't add suffixes `load-suffixes'
-to the specified name LIBRARY.
-
-If the optional third arg PATH is specified, that list of directories
-is used instead of `load-path'.
-
-When called from a program, the file name is normaly returned as a
-string.  When run interactively, the argument INTERACTIVE-CALL is t,
-and the file name is displayed in the echo area.
-
-\(fn LIBRARY &optional NOSUFFIX PATH INTERACTIVE-CALL)" t nil)
-
 ;;;***
 \f
 ;;;### (autoloads (sc-cite-original) "supercite" "mail/supercite.el"
-;;;;;;  (18173 8195))
+;;;;;;  (18392 41073))
 ;;; Generated autoloads from mail/supercite.el
 
 (autoload 'sc-cite-original "supercite" "\
@@ -25941,16 +25835,54 @@ original message but it does require a few things:
 
      5) Mark is at the end of the body of text to be cited.
 
-For Emacs 19's, the region need not be active (and typically isn't
-when this function is called.  Also, the hook `sc-pre-hook' is run
-before, and `sc-post-hook' is run after the guts of this function.
+The region need not be active (and typically isn't when this
+function is called).  Also, the hook `sc-pre-hook' is run before,
+and `sc-post-hook' is run after the guts of this function.
 
 \(fn)" nil nil)
 
 ;;;***
 \f
-;;;### (autoloads (gpm-mouse-mode) "t-mouse" "t-mouse.el" (18177
-;;;;;;  7731))
+;;;### (autoloads (symbol-completion-try-complete symbol-complete)
+;;;;;;  "sym-comp" "progmodes/sym-comp.el" (18373 17378))
+;;; Generated autoloads from progmodes/sym-comp.el
+
+(autoload 'symbol-complete "sym-comp" "\
+Perform completion of the symbol preceding point.
+This is done in a way appropriate to the current major mode,
+perhaps by interrogating an inferior interpreter.  Compare
+`complete-symbol'.
+If no characters can be completed, display a list of possible completions.
+Repeating the command at that point scrolls the list.
+
+When called from a program, optional arg PREDICATE is a predicate
+determining which symbols are considered.
+
+This function requires `symbol-completion-completions-function'
+to be set buffer-locally.  Variables `symbol-completion-symbol-function',
+`symbol-completion-predicate-function' and
+`symbol-completion-transform-function' are also consulted.
+
+\(fn &optional PREDICATE)" t nil)
+
+(autoload 'symbol-completion-try-complete "sym-comp" "\
+Completion function for use with `hippie-expand'.
+Uses `symbol-completion-symbol-function' and
+`symbol-completion-completions-function'.  It is intended to be
+used something like this in a major mode which provides symbol
+completion:
+
+  (if (featurep 'hippie-exp)
+      (set (make-local-variable 'hippie-expand-try-functions-list)
+          (cons 'symbol-completion-try-complete
+                 hippie-expand-try-functions-list)))
+
+\(fn OLD)" nil nil)
+
+;;;***
+\f
+;;;### (autoloads (gpm-mouse-mode) "t-mouse" "t-mouse.el" (18335
+;;;;;;  54516))
 ;;; Generated autoloads from t-mouse.el
 
 (define-obsolete-function-alias 't-mouse-mode 'gpm-mouse-mode "23.1")
@@ -25977,7 +25909,7 @@ It relies on the `gpm' daemon being activated.
 
 ;;;***
 \f
-;;;### (autoloads (tabify untabify) "tabify" "tabify.el" (18088 55089))
+;;;### (autoloads (tabify untabify) "tabify" "tabify.el" (18335 54516))
 ;;; Generated autoloads from tabify.el
 
 (autoload 'untabify "tabify" "\
@@ -26012,7 +25944,7 @@ The variable `tab-width' controls the spacing of tab stops.
 ;;;;;;  table-recognize table-insert-row-column table-insert-column
 ;;;;;;  table-insert-row table-insert table-point-left-cell-hook
 ;;;;;;  table-point-entered-cell-hook table-load-hook table-cell-map-hook)
-;;;;;;  "table" "textmodes/table.el" (18173 8196))
+;;;;;;  "table" "textmodes/table.el" (18335 54546))
 ;;; Generated autoloads from textmodes/table.el
 
 (defvar table-cell-map-hook nil "\
@@ -26600,7 +26532,7 @@ converts a table into plain text without frames.  It is a companion to
 
 ;;;***
 \f
-;;;### (autoloads (talk talk-connect) "talk" "talk.el" (18133 2197))
+;;;### (autoloads (talk talk-connect) "talk" "talk.el" (18335 54516))
 ;;; Generated autoloads from talk.el
 
 (autoload 'talk-connect "talk" "\
@@ -26615,7 +26547,7 @@ Connect to the Emacs talk group from the current X display or tty frame.
 
 ;;;***
 \f
-;;;### (autoloads (tar-mode) "tar-mode" "tar-mode.el" (18202 3996))
+;;;### (autoloads (tar-mode) "tar-mode" "tar-mode.el" (18421 18853))
 ;;; Generated autoloads from tar-mode.el
 
 (autoload 'tar-mode "tar-mode" "\
@@ -26639,7 +26571,7 @@ See also: variables `tar-update-datestamp' and `tar-anal-blocksize'.
 ;;;***
 \f
 ;;;### (autoloads (tcl-help-on-word inferior-tcl tcl-mode) "tcl"
-;;;;;;  "progmodes/tcl.el" (18120 34753))
+;;;;;;  "progmodes/tcl.el" (18335 54543))
 ;;; Generated autoloads from progmodes/tcl.el
 
 (autoload 'tcl-mode "tcl" "\
@@ -26690,7 +26622,7 @@ Prefix argument means invert sense of `tcl-use-smart-word-finder'.
 
 ;;;***
 \f
-;;;### (autoloads (rsh telnet) "telnet" "net/telnet.el" (18101 9759))
+;;;### (autoloads (rsh telnet) "telnet" "net/telnet.el" (18335 54538))
 ;;; Generated autoloads from net/telnet.el
  (add-hook 'same-window-regexps "\\*telnet-.*\\*\\(\\|<[0-9]+>\\)")
 
@@ -26717,8 +26649,8 @@ Normally input is edited in Emacs and sent a line at a time.
 
 ;;;***
 \f
-;;;### (autoloads (ansi-term term make-term) "term" "term.el" (18120
-;;;;;;  34749))
+;;;### (autoloads (ansi-term term make-term) "term" "term.el" (18425
+;;;;;;  32958))
 ;;; Generated autoloads from term.el
 
 (autoload 'make-term "term" "\
@@ -26746,8 +26678,8 @@ Start a terminal-emulator in a new buffer.
 
 ;;;***
 \f
-;;;### (autoloads (terminal-emulator) "terminal" "terminal.el" (18088
-;;;;;;  55090))
+;;;### (autoloads (terminal-emulator) "terminal" "terminal.el" (18335
+;;;;;;  54517))
 ;;; Generated autoloads from terminal.el
 
 (autoload 'terminal-emulator "terminal" "\
@@ -26784,7 +26716,7 @@ subprocess started.
 ;;;***
 \f
 ;;;### (autoloads (testcover-this-defun) "testcover" "emacs-lisp/testcover.el"
-;;;;;;  (18088 55096))
+;;;;;;  (18335 54523))
 ;;; Generated autoloads from emacs-lisp/testcover.el
 
 (autoload 'testcover-this-defun "testcover" "\
@@ -26794,7 +26726,7 @@ Start coverage on function under point.
 
 ;;;***
 \f
-;;;### (autoloads (tetris) "tetris" "play/tetris.el" (18088 55114))
+;;;### (autoloads (tetris) "tetris" "play/tetris.el" (18335 54541))
 ;;; Generated autoloads from play/tetris.el
 
 (autoload 'tetris "tetris" "\
@@ -26825,7 +26757,7 @@ tetris-mode keybindings:
 ;;;;;;  tex-start-commands tex-start-options slitex-run-command latex-run-command
 ;;;;;;  tex-run-command tex-offer-save tex-main-file tex-first-line-header-regexp
 ;;;;;;  tex-directory tex-shell-file-name) "tex-mode" "textmodes/tex-mode.el"
-;;;;;;  (18211 32385))
+;;;;;;  (18382 6719))
 ;;; Generated autoloads from textmodes/tex-mode.el
 
 (defvar tex-shell-file-name nil "\
@@ -27127,7 +27059,7 @@ Major mode to edit DocTeX files.
 ;;;***
 \f
 ;;;### (autoloads (texi2info texinfo-format-region texinfo-format-buffer)
-;;;;;;  "texinfmt" "textmodes/texinfmt.el" (18130 62049))
+;;;;;;  "texinfmt" "textmodes/texinfmt.el" (18335 54546))
 ;;; Generated autoloads from textmodes/texinfmt.el
 
 (autoload 'texinfo-format-buffer "texinfmt" "\
@@ -27167,7 +27099,7 @@ if large.  You can use `Info-split' to do this manually.
 ;;;***
 \f
 ;;;### (autoloads (texinfo-mode texinfo-close-quote texinfo-open-quote)
-;;;;;;  "texinfo" "textmodes/texinfo.el" (18149 22003))
+;;;;;;  "texinfo" "textmodes/texinfo.el" (18335 54546))
 ;;; Generated autoloads from textmodes/texinfo.el
 
 (defvar texinfo-open-quote "``" "\
@@ -27251,10 +27183,9 @@ value of `texinfo-mode-hook'.
 
 ;;;***
 \f
-;;;### (autoloads (thai-auto-composition-mode thai-composition-function
-;;;;;;  thai-post-read-conversion thai-compose-buffer thai-compose-string
-;;;;;;  thai-compose-region) "thai-util" "language/thai-util.el"
-;;;;;;  (18088 55109))
+;;;### (autoloads (thai-composition-function thai-compose-buffer
+;;;;;;  thai-compose-string thai-compose-region) "thai-util" "language/thai-util.el"
+;;;;;;  (18341 12912))
 ;;; Generated autoloads from language/thai-util.el
 
 (autoload 'thai-compose-region "thai-util" "\
@@ -27274,31 +27205,16 @@ Compose Thai characters in the current buffer.
 
 \(fn)" t nil)
 
-(autoload 'thai-post-read-conversion "thai-util" "\
-Not documented
-
-\(fn LEN)" nil nil)
-
 (autoload 'thai-composition-function "thai-util" "\
-Compose Thai text in the region FROM and TO.
-The text matches the regular expression PATTERN.
-Optional 4th argument STRING, if non-nil, is a string containing text
-to compose.
-
-The return value is number of composed characters.
-
-\(fn FROM TO PATTERN &optional STRING)" nil nil)
-
-(autoload 'thai-auto-composition-mode "thai-util" "\
-Minor mode for automatically correct Thai character composition.
+Not documented
 
-\(fn &optional ARG)" t nil)
+\(fn POS TO FONT-OBJECT STRING)" nil nil)
 
 ;;;***
 \f
 ;;;### (autoloads (list-at-point number-at-point symbol-at-point
 ;;;;;;  sexp-at-point thing-at-point bounds-of-thing-at-point forward-thing)
-;;;;;;  "thingatpt" "thingatpt.el" (18088 55090))
+;;;;;;  "thingatpt" "thingatpt.el" (18335 54517))
 ;;; Generated autoloads from thingatpt.el
 
 (autoload 'forward-thing "thingatpt" "\
@@ -27355,7 +27271,7 @@ Not documented
 \f
 ;;;### (autoloads (thumbs-dired-setroot thumbs-dired-show thumbs-dired-show-marked
 ;;;;;;  thumbs-show-from-dir thumbs-find-thumb) "thumbs" "thumbs.el"
-;;;;;;  (18088 55090))
+;;;;;;  (18335 54517))
 ;;; Generated autoloads from thumbs.el
 
 (autoload 'thumbs-find-thumb "thumbs" "\
@@ -27394,7 +27310,7 @@ In dired, call the setroot program on the image at point.
 ;;;;;;  tibetan-composition-function tibetan-decompose-string tibetan-decompose-region
 ;;;;;;  tibetan-compose-region tibetan-compose-string tibetan-transcription-to-tibetan
 ;;;;;;  tibetan-tibetan-to-transcription tibetan-char-p) "tibet-util"
-;;;;;;  "language/tibet-util.el" (18088 55109))
+;;;;;;  "language/tibet-util.el" (18370 13448))
 ;;; Generated autoloads from language/tibet-util.el
 
 (autoload 'tibetan-char-p "tibet-util" "\
@@ -27441,7 +27357,7 @@ are decomposed into normal Tibetan character sequences.
 (autoload 'tibetan-composition-function "tibet-util" "\
 Not documented
 
-\(fn FROM TO PATTERN &optional STRING)" nil nil)
+\(fn FROM TO FONT-OBJECT STRING)" nil nil)
 
 (autoload 'tibetan-decompose-buffer "tibet-util" "\
 Decomposes Tibetan characters in the buffer into their components.
@@ -27473,7 +27389,7 @@ Not documented
 ;;;***
 \f
 ;;;### (autoloads (tildify-buffer tildify-region) "tildify" "textmodes/tildify.el"
-;;;;;;  (18088 55121))
+;;;;;;  (18335 54547))
 ;;; Generated autoloads from textmodes/tildify.el
 
 (autoload 'tildify-region "tildify" "\
@@ -27496,8 +27412,9 @@ This function performs no refilling of the changed text.
 
 ;;;***
 \f
-;;;### (autoloads (display-time-world display-time-mode display-time
-;;;;;;  display-time-day-and-date) "time" "time.el" (18211 32385))
+;;;### (autoloads (emacs-init-time emacs-uptime display-time-world
+;;;;;;  display-time-mode display-time display-time-day-and-date)
+;;;;;;  "time" "time.el" (18367 13960))
 ;;; Generated autoloads from time.el
 
 (defvar display-time-day-and-date nil "\
@@ -27541,17 +27458,29 @@ To turn off the world time display, go to that window and type `q'.
 
 \(fn)" t nil)
 
+(autoload 'emacs-uptime "time" "\
+Return a string giving the uptime of this instance of Emacs.
+FORMAT is a string to format the result, using `format-seconds'.
+For example, the Unix uptime command format is \"%D, %z%2h:%.2m\".
+
+\(fn &optional FORMAT)" t nil)
+
+(autoload 'emacs-init-time "time" "\
+Return a string giving the duration of the Emacs initialization.
+
+\(fn)" t nil)
+
 ;;;***
 \f
-;;;### (autoloads (safe-date-to-time time-to-days time-to-day-in-year
-;;;;;;  date-leap-year-p days-between date-to-day time-add time-subtract
-;;;;;;  time-since days-to-time time-less-p seconds-to-time time-to-seconds
-;;;;;;  date-to-time) "time-date" "calendar/time-date.el" (18088
-;;;;;;  55094))
+;;;### (autoloads (format-seconds safe-date-to-time time-to-days
+;;;;;;  time-to-day-in-year date-leap-year-p days-between date-to-day
+;;;;;;  time-add time-subtract time-since days-to-time time-less-p
+;;;;;;  seconds-to-time time-to-seconds date-to-time) "time-date"
+;;;;;;  "calendar/time-date.el" (18426 29950))
 ;;; Generated autoloads from calendar/time-date.el
 
 (autoload 'date-to-time "time-date" "\
-Parse a string that represents a date-time and return a time value.
+Parse a string DATE that represents a date-time and return a time value.
 
 \(fn DATE)" nil nil)
 
@@ -27585,13 +27514,13 @@ TIME should be either a time value or a date-time string.
 (defalias 'subtract-time 'time-subtract)
 
 (autoload 'time-subtract "time-date" "\
-Subtract two time values.
+Subtract two time values, T1 minus T2.
 Return the difference in the format of a time value.
 
 \(fn T1 T2)" nil nil)
 
 (autoload 'time-add "time-date" "\
-Add two time values.  One should represent a time difference.
+Add two time values T1 and T2.  One should represent a time difference.
 
 \(fn T1 T2)" nil nil)
 
@@ -27625,17 +27554,44 @@ The Gregorian date Sunday, December 31, 1bce is imaginary.
 \(fn TIME)" nil nil)
 
 (autoload 'safe-date-to-time "time-date" "\
-Parse a string that represents a date-time and return a time value.
+Parse a string DATE that represents a date-time and return a time value.
 If DATE is malformed, return a time value of zeros.
 
 \(fn DATE)" nil nil)
 
+(autoload 'format-seconds "time-date" "\
+Use format control STRING to format the number SECONDS.
+The valid format specifiers are:
+%y is the number of (365-day) years.
+%d is the number of days.
+%h is the number of hours.
+%m is the number of minutes.
+%s is the number of seconds.
+%z is a non-printing control flag (see below).
+%% is a literal \"%\".
+
+Upper-case specifiers are followed by the unit-name (e.g. \"years\").
+Lower-case specifiers return only the unit.
+
+\"%\" may be followed by a number specifying a width, with an
+optional leading \".\" for zero-padding.  For example, \"%.3Y\" will
+return something of the form \"001 year\".
+
+The \"%z\" specifier does not print anything.  When it is used, specifiers
+must be given in order of decreasing size.  To the left of \"%z\", nothing
+is output until the first non-zero unit is encountered.
+
+This function does not work for SECONDS greater than `most-positive-fixnum'.
+
+\(fn STRING SECONDS)" nil nil)
+
 ;;;***
 \f
 ;;;### (autoloads (time-stamp-toggle-active time-stamp) "time-stamp"
-;;;;;;  "time-stamp.el" (18088 55090))
+;;;;;;  "time-stamp.el" (18341 12905))
 ;;; Generated autoloads from time-stamp.el
 (put 'time-stamp-format 'safe-local-variable 'stringp)
+(put 'time-stamp-time-zone 'safe-local-variable 'string-or-null-p)
 (put 'time-stamp-line-limit 'safe-local-variable 'integerp)
 (put 'time-stamp-start 'safe-local-variable 'stringp)
 (put 'time-stamp-end 'safe-local-variable 'stringp)
@@ -27676,7 +27632,7 @@ With ARG, turn time stamping on if and only if arg is positive.
 ;;;;;;  timeclock-workday-remaining-string timeclock-reread-log timeclock-query-out
 ;;;;;;  timeclock-change timeclock-status-string timeclock-out timeclock-in
 ;;;;;;  timeclock-modeline-display) "timeclock" "calendar/timeclock.el"
-;;;;;;  (18088 55094))
+;;;;;;  (18426 29950))
 ;;; Generated autoloads from calendar/timeclock.el
 
 (autoload 'timeclock-modeline-display "timeclock" "\
@@ -27694,7 +27650,7 @@ display (non-nil means on).
 (autoload 'timeclock-in "timeclock" "\
 Clock in, recording the current time moment in the timelog.
 With a numeric prefix ARG, record the fact that today has only that
-many hours in it to be worked.  If arg is a non-numeric prefix arg
+many hours in it to be worked.  If ARG is a non-numeric prefix argument
 \(non-nil, but not a number), 0 is assumed (working on a holiday or
 weekend).  *If not called interactively, ARG should be the number of
 _seconds_ worked today*.  This feature only has effect the first time
@@ -27773,92 +27729,10 @@ relative only to the time worked today, and not to past time.
 
 \(fn &optional SHOW-SECONDS TODAY-ONLY)" t nil)
 
-;;;***
-\f
-;;;### (autoloads (with-timeout run-with-idle-timer add-timeout run-with-timer
-;;;;;;  run-at-time cancel-function-timers cancel-timer) "timer"
-;;;;;;  "emacs-lisp/timer.el" (18088 55096))
-;;; Generated autoloads from emacs-lisp/timer.el
-
-(defalias 'disable-timeout 'cancel-timer)
-
-(autoload 'cancel-timer "timer" "\
-Remove TIMER from the list of active timers.
-
-\(fn TIMER)" nil nil)
-
-(autoload 'cancel-function-timers "timer" "\
-Cancel all timers which would run FUNCTION.
-This affects ordinary timers such as are scheduled by `run-at-time',
-and idle timers such as are scheduled by `run-with-idle-timer'.
-
-\(fn FUNCTION)" t nil)
-
-(autoload 'run-at-time "timer" "\
-Perform an action at time TIME.
-Repeat the action every REPEAT seconds, if REPEAT is non-nil.
-TIME should be one of: a string giving an absolute time like
-\"11:23pm\" (the acceptable formats are those recognized by
-`diary-entry-time'; note that such times are interpreted as times
-today, even if in the past); a string giving a relative time like
-\"2 hours 35 minutes\" (the acceptable formats are those
-recognized by `timer-duration'); nil meaning now; a number of
-seconds from now; a value from `encode-time'; or t (with non-nil
-REPEAT) meaning the next integral multiple of REPEAT.  REPEAT may
-be an integer or floating point number.  The action is to call
-FUNCTION with arguments ARGS.
-
-This function returns a timer object which you can use in `cancel-timer'.
-
-\(fn TIME REPEAT FUNCTION &rest ARGS)" t nil)
-
-(autoload 'run-with-timer "timer" "\
-Perform an action after a delay of SECS seconds.
-Repeat the action every REPEAT seconds, if REPEAT is non-nil.
-SECS and REPEAT may be integers or floating point numbers.
-The action is to call FUNCTION with arguments ARGS.
-
-This function returns a timer object which you can use in `cancel-timer'.
-
-\(fn SECS REPEAT FUNCTION &rest ARGS)" t nil)
-
-(autoload 'add-timeout "timer" "\
-Add a timer to run SECS seconds from now, to call FUNCTION on OBJECT.
-If REPEAT is non-nil, repeat the timer every REPEAT seconds.
-This function is for compatibility; see also `run-with-timer'.
-
-\(fn SECS FUNCTION OBJECT &optional REPEAT)" nil nil)
-
-(autoload 'run-with-idle-timer "timer" "\
-Perform an action the next time Emacs is idle for SECS seconds.
-The action is to call FUNCTION with arguments ARGS.
-SECS may be an integer, a floating point number, or the internal
-time format (HIGH LOW USECS) returned by, e.g., `current-idle-time'.
-If Emacs is currently idle, and has been idle for N seconds (N < SECS),
-then it will call FUNCTION in SECS - N seconds from now.
-
-If REPEAT is non-nil, do the action each time Emacs has been idle for
-exactly SECS seconds (that is, only once for each time Emacs becomes idle).
-
-This function returns a timer object which you can use in `cancel-timer'.
-
-\(fn SECS REPEAT FUNCTION &rest ARGS)" t nil)
- (put 'with-timeout 'lisp-indent-function 1)
-
-(autoload 'with-timeout "timer" "\
-Run BODY, but if it doesn't finish in SECONDS seconds, give up.
-If we give up, we run the TIMEOUT-FORMS and return the value of the last one.
-The timeout is checked whenever Emacs waits for some kind of external
-event (such as keyboard input, input from subprocesses, or a certain time);
-if the program loops without waiting in any way, the timeout will not
-be detected.
-
-\(fn (SECONDS TIMEOUT-FORMS...) BODY)" nil (quote macro))
-
 ;;;***
 \f
 ;;;### (autoloads (batch-titdic-convert titdic-convert) "titdic-cnv"
-;;;;;;  "international/titdic-cnv.el" (18088 55108))
+;;;;;;  "international/titdic-cnv.el" (18341 12911))
 ;;; Generated autoloads from international/titdic-cnv.el
 
 (autoload 'titdic-convert "titdic-cnv" "\
@@ -27878,34 +27752,10 @@ To get complete usage, invoke \"emacs -batch -f batch-titdic-convert -h\".
 
 \(fn &optional FORCE)" nil nil)
 
-;;;***
-\f
-;;;### (autoloads (tamil-composition-function tamil-post-read-conversion
-;;;;;;  tamil-compose-region) "tml-util" "language/tml-util.el" (18088
-;;;;;;  55109))
-;;; Generated autoloads from language/tml-util.el
-
-(autoload 'tamil-compose-region "tml-util" "\
-Not documented
-
-\(fn FROM TO)" t nil)
-
-(autoload 'tamil-post-read-conversion "tml-util" "\
-Not documented
-
-\(fn LEN)" nil nil)
-
-(autoload 'tamil-composition-function "tml-util" "\
-Compose Tamil characters in REGION, or STRING if specified.
-Assume that the REGION or STRING must fully match the composable 
-PATTERN regexp.
-
-\(fn FROM TO PATTERN &optional STRING)" nil nil)
-
 ;;;***
 \f
 ;;;### (autoloads (tmm-prompt tmm-menubar-mouse tmm-menubar) "tmm"
-;;;;;;  "tmm.el" (18149 22003))
+;;;;;;  "tmm.el" (18335 54517))
 ;;; Generated autoloads from tmm.el
  (define-key global-map "\M-`" 'tmm-menubar)
  (define-key global-map [menu-bar mouse-1] 'tmm-menubar-mouse)
@@ -27945,7 +27795,7 @@ Its value should be an event that has a binding in MENU.
 \f
 ;;;### (autoloads (todo-show todo-cp todo-mode todo-print todo-top-priorities
 ;;;;;;  todo-insert-item todo-add-item-non-interactively todo-add-category)
-;;;;;;  "todo-mode" "calendar/todo-mode.el" (18203 38492))
+;;;;;;  "todo-mode" "calendar/todo-mode.el" (18426 29950))
 ;;; Generated autoloads from calendar/todo-mode.el
 
 (autoload 'todo-add-category "todo-mode" "\
@@ -28006,7 +27856,7 @@ Show TODO list.
 \f
 ;;;### (autoloads (tool-bar-local-item-from-menu tool-bar-add-item-from-menu
 ;;;;;;  tool-bar-local-item tool-bar-add-item toggle-tool-bar-mode-from-frame)
-;;;;;;  "tool-bar" "tool-bar.el" (18133 2197))
+;;;;;;  "tool-bar" "tool-bar.el" (18373 17377))
 ;;; Generated autoloads from tool-bar.el
 
 (autoload 'toggle-tool-bar-mode-from-frame "tool-bar" "\
@@ -28079,7 +27929,7 @@ holds a keymap.
 ;;;***
 \f
 ;;;### (autoloads (tpu-edt-on tpu-edt-mode) "tpu-edt" "emulation/tpu-edt.el"
-;;;;;;  (18211 32385))
+;;;;;;  (18367 13961))
 ;;; Generated autoloads from emulation/tpu-edt.el
 
 (defvar tpu-edt-mode nil "\
@@ -28105,7 +27955,42 @@ Turn on TPU/edt emulation.
 
 ;;;***
 \f
-;;;### (autoloads (tq-create) "tq" "emacs-lisp/tq.el" (18088 55096))
+;;;### (autoloads (tpu-mapper) "tpu-mapper" "emulation/tpu-mapper.el"
+;;;;;;  (18335 54524))
+;;; Generated autoloads from emulation/tpu-mapper.el
+
+(autoload 'tpu-mapper "tpu-mapper" "\
+Create an Emacs lisp file defining the TPU-edt keypad for X-windows.
+
+This command displays an instruction screen showing the TPU-edt keypad
+and asks you to press the TPU-edt editing keys.  It uses the keys you
+press to create an Emacs Lisp file that will define a TPU-edt keypad
+for your X server.  You can even re-arrange the standard EDT keypad to
+suit your tastes (or to cope with those silly Sun and PC keypads).
+
+Finally, you will be prompted for the name of the file to store the key
+definitions.  If you chose the default, TPU-edt will find it and load it
+automatically.  If you specify a different file name, you will need to
+set the variable ``tpu-xkeys-file'' before starting TPU-edt.  Here's how
+you might go about doing that in your .emacs file.
+
+  (setq tpu-xkeys-file (expand-file-name \"~/.my-emacs-x-keys\"))
+  (tpu-edt)
+
+Known Problems:
+
+Sometimes, tpu-mapper will ignore a key you press, and just continue to
+prompt for the same key.  This can happen when your window manager sucks
+up the key and doesn't pass it on to Emacs, or it could be an Emacs bug.
+Either way, there's nothing that tpu-mapper can do about it.  You must
+press RETURN, to skip the current key and continue.  Later, you and/or
+your local X guru can try to figure out why the key is being ignored.
+
+\(fn)" t nil)
+
+;;;***
+\f
+;;;### (autoloads (tq-create) "tq" "emacs-lisp/tq.el" (18335 54523))
 ;;; Generated autoloads from emacs-lisp/tq.el
 
 (autoload 'tq-create "tq" "\
@@ -28119,7 +28004,7 @@ to a tcp server on another machine.
 ;;;***
 \f
 ;;;### (autoloads (trace-function-background trace-function trace-buffer)
-;;;;;;  "trace" "emacs-lisp/trace.el" (18088 55096))
+;;;;;;  "trace" "emacs-lisp/trace.el" (18335 54523))
 ;;; Generated autoloads from emacs-lisp/trace.el
 
 (defvar trace-buffer "*trace-output*" "\
@@ -28156,7 +28041,7 @@ BUFFER defaults to `trace-buffer'.
 ;;;### (autoloads (tramp-unload-tramp tramp-completion-handle-file-name-completion
 ;;;;;;  tramp-completion-handle-file-name-all-completions tramp-unload-file-name-handlers
 ;;;;;;  tramp-file-name-handler tramp-syntax) "tramp" "net/tramp.el"
-;;;;;;  (18211 32385))
+;;;;;;  (18427 6092))
 ;;; Generated autoloads from net/tramp.el
 
 (defvar tramp-syntax (if (featurep 'xemacs) 'sep 'ftp) "\
@@ -28200,17 +28085,22 @@ updated after changing this variable.
 
 Also see `tramp-file-name-structure'.")
 
-(defconst tramp-completion-file-name-regexp-unified (if (memq system-type '(cygwin windows-nt)) "^\\([a-zA-Z]:\\)?/$\\|^\\([a-zA-Z]:\\)?/[^/:][^/]*$" "^/$\\|^/[^/:][^/]*$") "\
+(defconst tramp-root-regexp (if (memq system-type '(cygwin windows-nt)) "^\\([a-zA-Z]:\\)?/" "^/") "\
+Beginning of an incomplete Tramp file name.
+Usually, it is just \"^/\".  On W32 systems, there might be a
+volume letter, which will be removed by `tramp-drop-volume-letter'.")
+
+(defconst tramp-completion-file-name-regexp-unified (concat tramp-root-regexp "[^/]*$") "\
 Value for `tramp-completion-file-name-regexp' for unified remoting.
-Emacs (not XEmacs) uses a unified filename syntax for Ange-FTP and
-Tramp.  See `tramp-file-name-structure' for more explanations.")
+GNU Emacs uses a unified filename syntax for Tramp and Ange-FTP.
+See `tramp-file-name-structure' for more explanations.")
 
-(defconst tramp-completion-file-name-regexp-separate (if (memq system-type '(cygwin windows-nt)) "^\\([a-zA-Z]:\\)?/\\([[][^]]*\\)?$" "^/\\([[][^]]*\\)?$") "\
+(defconst tramp-completion-file-name-regexp-separate (concat tramp-root-regexp "\\([[][^]]*\\)?$") "\
 Value for `tramp-completion-file-name-regexp' for separate remoting.
 XEmacs uses a separate filename syntax for Tramp and EFS.
 See `tramp-file-name-structure' for more explanations.")
 
-(defconst tramp-completion-file-name-regexp-url (if (memq system-type '(cygwin windows-nt)) "^\\([a-zA-Z]:\\)?/$\\|^\\([a-zA-Z]:\\)?/[^/:]+\\(:\\(/\\(/[^/]*\\)?\\)?\\)?$" "^/$\\|^/[^/:]+\\(:\\(/\\(/[^/]*\\)?\\)?\\)?$") "\
+(defconst tramp-completion-file-name-regexp-url (concat tramp-root-regexp "[^/:]+\\(:\\(/\\(/[^/]*\\)?\\)?\\)?$") "\
 Value for `tramp-completion-file-name-regexp' for URL-like remoting.
 See `tramp-file-name-structure' for more explanations.")
 
@@ -28249,7 +28139,7 @@ Falls back to normal file name handler if no Tramp file name handler exists.
 
 (defun tramp-completion-file-name-handler (operation &rest args) "\
 Invoke Tramp file name completion handler.
-Falls back to normal file name handler if no Tramp file name handler exists." (let ((fn (assoc operation tramp-completion-file-name-handler-alist))) (if fn (save-match-data (apply (cdr fn) args)) (tramp-completion-run-real-handler operation args))))
+Falls back to normal file name handler if no Tramp file name handler exists." (let ((directory-sep-char 47) (fn (assoc operation tramp-completion-file-name-handler-alist))) (if fn (save-match-data (apply (cdr fn) args)) (tramp-completion-run-real-handler operation args))))
 
 (defsubst tramp-register-file-name-handler nil "\
 Add Tramp file name handler to `file-name-handler-alist'." (let ((a1 (rassq (quote tramp-file-name-handler) file-name-handler-alist))) (setq file-name-handler-alist (delete a1 file-name-handler-alist))) (add-to-list (quote file-name-handler-alist) (cons tramp-file-name-regexp (quote tramp-file-name-handler))) (let ((jka (rassoc (quote jka-compr-handler) file-name-handler-alist))) (when jka (setq file-name-handler-alist (cons jka (delete jka file-name-handler-alist))))))
@@ -28259,7 +28149,7 @@ Add Tramp file name handler to `file-name-handler-alist'." (let ((a1 (rassq (quo
 Add Tramp completion file name handler to `file-name-handler-alist'." (let ((a1 (rassq (quote tramp-completion-file-name-handler) file-name-handler-alist))) (setq file-name-handler-alist (delete a1 file-name-handler-alist))) (when (or (not (boundp (quote partial-completion-mode))) (symbol-value (quote partial-completion-mode)) (featurep (quote ido)) (featurep (quote icicles))) (add-to-list (quote file-name-handler-alist) (cons tramp-completion-file-name-regexp (quote tramp-completion-file-name-handler))) (put (quote tramp-completion-file-name-handler) (quote safe-magic) t)) (let ((jka (rassoc (quote jka-compr-handler) file-name-handler-alist))) (when jka (setq file-name-handler-alist (cons jka (delete jka file-name-handler-alist))))))
 (add-hook
  'after-init-hook
- '(lambda () (tramp-register-completion-file-name-handler)))
+ 'tramp-register-completion-file-name-handler)
 
 (autoload 'tramp-unload-file-name-handlers "tramp" "\
 Not documented
@@ -28284,7 +28174,7 @@ Discard Tramp from loading remote files.
 ;;;***
 \f
 ;;;### (autoloads (tramp-ftp-enable-ange-ftp) "tramp-ftp" "net/tramp-ftp.el"
-;;;;;;  (18183 58477))
+;;;;;;  (18414 53323))
 ;;; Generated autoloads from net/tramp-ftp.el
 
 (autoload 'tramp-ftp-enable-ange-ftp "tramp-ftp" "\
@@ -28294,8 +28184,8 @@ Not documented
 
 ;;;***
 \f
-;;;### (autoloads (help-with-tutorial) "tutorial" "tutorial.el" (18200
-;;;;;;  51264))
+;;;### (autoloads (help-with-tutorial) "tutorial" "tutorial.el" (18388
+;;;;;;  20518))
 ;;; Generated autoloads from tutorial.el
 
 (autoload 'help-with-tutorial "tutorial" "\
@@ -28317,10 +28207,21 @@ resumed later.
 
 \(fn &optional ARG DONT-ASK-FOR-REVERT)" t nil)
 
+;;;***
+\f
+;;;### (autoloads (tai-viet-composition-function) "tv-util" "language/tv-util.el"
+;;;;;;  (18340 25864))
+;;; Generated autoloads from language/tv-util.el
+
+(autoload 'tai-viet-composition-function "tv-util" "\
+Not documented
+
+\(fn FROM TO FONT-OBJECT STRING)" nil nil)
+
 ;;;***
 \f
 ;;;### (autoloads (2C-split 2C-associate-buffer 2C-two-columns) "two-column"
-;;;;;;  "textmodes/two-column.el" (18201 33329))
+;;;;;;  "textmodes/two-column.el" (18335 54547))
 ;;; Generated autoloads from textmodes/two-column.el
  (autoload '2C-command "two-column" () t 'keymap)
  (global-set-key "\C-x6" '2C-command)
@@ -28371,7 +28272,7 @@ First column's text    sSs  Second column's text
 ;;;;;;  type-break type-break-mode type-break-keystroke-threshold
 ;;;;;;  type-break-good-break-interval type-break-good-rest-interval
 ;;;;;;  type-break-interval type-break-mode) "type-break" "type-break.el"
-;;;;;;  (18088 55090))
+;;;;;;  (18335 54517))
 ;;; Generated autoloads from type-break.el
 
 (defvar type-break-mode nil "\
@@ -28554,7 +28455,7 @@ FRAC should be the inverse of the fractional value; for example, a value of
 ;;;***
 \f
 ;;;### (autoloads (ununderline-region underline-region) "underline"
-;;;;;;  "textmodes/underline.el" (18088 55121))
+;;;;;;  "textmodes/underline.el" (18335 54547))
 ;;; Generated autoloads from textmodes/underline.el
 
 (autoload 'underline-region "underline" "\
@@ -28575,7 +28476,7 @@ which specify the range to operate on.
 ;;;***
 \f
 ;;;### (autoloads (unforward-rmail-message undigestify-rmail-message)
-;;;;;;  "undigest" "mail/undigest.el" (18120 34751))
+;;;;;;  "undigest" "mail/undigest.el" (18335 54537))
 ;;; Generated autoloads from mail/undigest.el
 
 (autoload 'undigestify-rmail-message "undigest" "\
@@ -28594,7 +28495,7 @@ following the containing message.
 ;;;***
 \f
 ;;;### (autoloads (unrmail batch-unrmail) "unrmail" "mail/unrmail.el"
-;;;;;;  (18088 55110))
+;;;;;;  (18335 54537))
 ;;; Generated autoloads from mail/unrmail.el
 
 (autoload 'batch-unrmail "unrmail" "\
@@ -28613,21 +28514,21 @@ Convert Rmail file FILE to system inbox format file TO-FILE.
 
 ;;;***
 \f
-;;;### (autoloads (unsafep) "unsafep" "emacs-lisp/unsafep.el" (18120
-;;;;;;  34750))
+;;;### (autoloads (unsafep) "unsafep" "emacs-lisp/unsafep.el" (18335
+;;;;;;  54523))
 ;;; Generated autoloads from emacs-lisp/unsafep.el
 
 (autoload 'unsafep "unsafep" "\
-Return nil if evaluating FORM couldn't possibly do any harm;
-otherwise result is a reason why FORM is unsafe.  UNSAFEP-VARS is a list
-of symbols with local bindings.
+Return nil if evaluating FORM couldn't possibly do any harm.
+Otherwise result is a reason why FORM is unsafe.
+UNSAFEP-VARS is a list of symbols with local bindings.
 
 \(fn FORM &optional UNSAFEP-VARS)" nil nil)
 
 ;;;***
 \f
 ;;;### (autoloads (url-retrieve-synchronously url-retrieve) "url"
-;;;;;;  "url/url.el" (18088 55122))
+;;;;;;  "url/url.el" (18335 54549))
 ;;; Generated autoloads from url/url.el
 
 (autoload 'url-retrieve "url" "\
@@ -28667,7 +28568,7 @@ no further processing).  URL is either a string or a parsed URL.
 ;;;***
 \f
 ;;;### (autoloads (url-register-auth-scheme url-get-authentication)
-;;;;;;  "url-auth" "url/url-auth.el" (18197 21677))
+;;;;;;  "url-auth" "url/url-auth.el" (18335 54547))
 ;;; Generated autoloads from url/url-auth.el
 
 (autoload 'url-get-authentication "url-auth" "\
@@ -28709,8 +28610,8 @@ RATING   a rating between 1 and 10 of the strength of the authentication.
 ;;;***
 \f
 ;;;### (autoloads (url-cache-expired url-cache-extract url-is-cached
-;;;;;;  url-store-in-cache) "url-cache" "url/url-cache.el" (18120
-;;;;;;  34753))
+;;;;;;  url-store-in-cache) "url-cache" "url/url-cache.el" (18335
+;;;;;;  54547))
 ;;; Generated autoloads from url/url-cache.el
 
 (autoload 'url-store-in-cache "url-cache" "\
@@ -28735,7 +28636,7 @@ Return t if a cached file has expired.
 
 ;;;***
 \f
-;;;### (autoloads (url-cid) "url-cid" "url/url-cid.el" (18088 55121))
+;;;### (autoloads (url-cid) "url-cid" "url/url-cid.el" (18335 54547))
 ;;; Generated autoloads from url/url-cid.el
 
 (autoload 'url-cid "url-cid" "\
@@ -28746,7 +28647,7 @@ Not documented
 ;;;***
 \f
 ;;;### (autoloads (url-dav-vc-registered url-dav-supported-p) "url-dav"
-;;;;;;  "url/url-dav.el" (18173 8196))
+;;;;;;  "url/url-dav.el" (18335 54547))
 ;;; Generated autoloads from url/url-dav.el
 
 (autoload 'url-dav-supported-p "url-dav" "\
@@ -28761,8 +28662,8 @@ Not documented
 
 ;;;***
 \f
-;;;### (autoloads (url-file) "url-file" "url/url-file.el" (18140
-;;;;;;  63039))
+;;;### (autoloads (url-file) "url-file" "url/url-file.el" (18335
+;;;;;;  54547))
 ;;; Generated autoloads from url/url-file.el
 
 (autoload 'url-file "url-file" "\
@@ -28773,7 +28674,7 @@ Handle file: and ftp: URLs.
 ;;;***
 \f
 ;;;### (autoloads (url-open-stream url-gateway-nslookup-host) "url-gw"
-;;;;;;  "url/url-gw.el" (18088 55121))
+;;;;;;  "url/url-gw.el" (18335 54548))
 ;;; Generated autoloads from url/url-gw.el
 
 (autoload 'url-gateway-nslookup-host "url-gw" "\
@@ -28792,8 +28693,8 @@ Might do a non-blocking connection; use `process-status' to check.
 ;;;***
 \f
 ;;;### (autoloads (url-insert-file-contents url-file-local-copy url-copy-file
-;;;;;;  url-handler-mode) "url-handlers" "url/url-handlers.el" (18088
-;;;;;;  55121))
+;;;;;;  url-file-handler url-handler-mode) "url-handlers" "url/url-handlers.el"
+;;;;;;  (18346 23602))
 ;;; Generated autoloads from url/url-handlers.el
 
 (defvar url-handler-mode nil "\
@@ -28810,6 +28711,13 @@ Use URL to handle URL-like file names.
 
 \(fn &optional ARG)" t nil)
 
+(autoload 'url-file-handler "url-handlers" "\
+Function called from the `file-name-handler-alist' routines.
+OPERATION is what needs to be done (`file-exists-p', etc).  ARGS are
+the arguments that would have been passed to OPERATION.
+
+\(fn OPERATION &rest ARGS)" nil nil)
+
 (autoload 'url-copy-file "url-handlers" "\
 Copy URL to NEWNAME.  Both args must be strings.
 Signals a `file-already-exists' error if file NEWNAME already exists,
@@ -28837,7 +28745,7 @@ Not documented
 ;;;***
 \f
 ;;;### (autoloads (url-http-options url-http-file-attributes url-http-file-exists-p
-;;;;;;  url-http) "url-http" "url/url-http.el" (18140 63039))
+;;;;;;  url-http) "url-http" "url/url-http.el" (18388 20518))
 ;;; Generated autoloads from url/url-http.el
 
 (autoload 'url-http "url-http" "\
@@ -28902,7 +28810,7 @@ HTTPS retrievals are asynchronous.")
 
 ;;;***
 \f
-;;;### (autoloads (url-irc) "url-irc" "url/url-irc.el" (18088 55121))
+;;;### (autoloads (url-irc) "url-irc" "url/url-irc.el" (18335 54548))
 ;;; Generated autoloads from url/url-irc.el
 
 (autoload 'url-irc "url-irc" "\
@@ -28912,8 +28820,8 @@ Not documented
 
 ;;;***
 \f
-;;;### (autoloads (url-ldap) "url-ldap" "url/url-ldap.el" (18088
-;;;;;;  55121))
+;;;### (autoloads (url-ldap) "url-ldap" "url/url-ldap.el" (18335
+;;;;;;  54548))
 ;;; Generated autoloads from url/url-ldap.el
 
 (autoload 'url-ldap "url-ldap" "\
@@ -28927,7 +28835,7 @@ URL can be a URL string, or a URL vector of the type returned by
 ;;;***
 \f
 ;;;### (autoloads (url-mailto url-mail) "url-mailto" "url/url-mailto.el"
-;;;;;;  (18140 63039))
+;;;;;;  (18335 54548))
 ;;; Generated autoloads from url/url-mailto.el
 
 (autoload 'url-mail "url-mailto" "\
@@ -28943,7 +28851,7 @@ Handle the mailto: URL syntax.
 ;;;***
 \f
 ;;;### (autoloads (url-data url-generic-emulator-loader url-info
-;;;;;;  url-man) "url-misc" "url/url-misc.el" (18165 39747))
+;;;;;;  url-man) "url-misc" "url/url-misc.el" (18335 54548))
 ;;; Generated autoloads from url/url-misc.el
 
 (autoload 'url-man "url-misc" "\
@@ -28975,7 +28883,7 @@ Fetch a data URL (RFC 2397).
 ;;;***
 \f
 ;;;### (autoloads (url-snews url-news) "url-news" "url/url-news.el"
-;;;;;;  (18163 15437))
+;;;;;;  (18335 54548))
 ;;; Generated autoloads from url/url-news.el
 
 (autoload 'url-news "url-news" "\
@@ -28992,7 +28900,7 @@ Not documented
 \f
 ;;;### (autoloads (url-ns-user-pref url-ns-prefs isInNet isResolvable
 ;;;;;;  dnsResolve dnsDomainIs isPlainHostName) "url-ns" "url/url-ns.el"
-;;;;;;  (18088 55121))
+;;;;;;  (18335 54549))
 ;;; Generated autoloads from url/url-ns.el
 
 (autoload 'isPlainHostName "url-ns" "\
@@ -29033,7 +28941,7 @@ Not documented
 ;;;***
 \f
 ;;;### (autoloads (url-generic-parse-url url-recreate-url) "url-parse"
-;;;;;;  "url/url-parse.el" (18140 63039))
+;;;;;;  "url/url-parse.el" (18335 54549))
 ;;; Generated autoloads from url/url-parse.el
 
 (autoload 'url-recreate-url "url-parse" "\
@@ -29042,16 +28950,16 @@ Recreate a URL string from the parsed URLOBJ.
 \(fn URLOBJ)" nil nil)
 
 (autoload 'url-generic-parse-url "url-parse" "\
-Return a vector of the parts of URL.
-Format is:
-\[TYPE USER PASSWORD HOST PORT FILE TARGET ATTRIBUTES FULL]
+Return an URL-struct of the parts of URL.
+The CL-style struct contains the following fields:
+TYPE USER PASSWORD HOST PORTSPEC FILENAME TARGET ATTRIBUTES FULLNESS.
 
 \(fn URL)" nil nil)
 
 ;;;***
 \f
 ;;;### (autoloads (url-setup-privacy-info) "url-privacy" "url/url-privacy.el"
-;;;;;;  (18088 55121))
+;;;;;;  (18335 54549))
 ;;; Generated autoloads from url/url-privacy.el
 
 (autoload 'url-setup-privacy-info "url-privacy" "\
@@ -29067,7 +28975,7 @@ Setup variables that expose info about you and your system.
 ;;;;;;  url-pretty-length url-strip-leading-spaces url-eat-trailing-space
 ;;;;;;  url-get-normalized-date url-lazy-message url-normalize-url
 ;;;;;;  url-insert-entities-in-string url-parse-args url-debug url-debug)
-;;;;;;  "url-util" "url/url-util.el" (18197 21677))
+;;;;;;  "url-util" "url/url-util.el" (18379 25273))
 ;;; Generated autoloads from url/url-util.el
 
 (defvar url-debug nil "\
@@ -29201,7 +29109,7 @@ This uses `url-current-object', set locally to the buffer.
 ;;;***
 \f
 ;;;### (autoloads (ask-user-about-supersession-threat ask-user-about-lock)
-;;;;;;  "userlock" "userlock.el" (18120 34749))
+;;;;;;  "userlock" "userlock.el" (18335 54517))
 ;;; Generated autoloads from userlock.el
 
 (autoload 'ask-user-about-lock "userlock" "\
@@ -29229,16 +29137,37 @@ The buffer in question is current when this function is called.
 
 ;;;***
 \f
-;;;### (autoloads nil "utf-7" "international/utf-7.el" (18088 55108))
+;;;### (autoloads (utf-7-imap-pre-write-conversion utf-7-pre-write-conversion
+;;;;;;  utf-7-imap-post-read-conversion utf-7-post-read-conversion)
+;;;;;;  "utf-7" "international/utf-7.el" (18376 47168))
 ;;; Generated autoloads from international/utf-7.el
-(autoload-coding-system 'utf-7 '(require 'utf-7))
+
+(autoload 'utf-7-post-read-conversion "utf-7" "\
+Not documented
+
+\(fn LEN)" nil nil)
+
+(autoload 'utf-7-imap-post-read-conversion "utf-7" "\
+Not documented
+
+\(fn LEN)" nil nil)
+
+(autoload 'utf-7-pre-write-conversion "utf-7" "\
+Not documented
+
+\(fn FROM TO)" nil nil)
+
+(autoload 'utf-7-imap-pre-write-conversion "utf-7" "\
+Not documented
+
+\(fn FROM TO)" nil nil)
 
 ;;;***
 \f
 ;;;### (autoloads (uudecode-decode-region uudecode-decode-region-internal
-;;;;;;  uudecode-decode-region-external) "uudecode" "gnus/uudecode.el"
-;;;;;;  (18212 46007))
-;;; Generated autoloads from gnus/uudecode.el
+;;;;;;  uudecode-decode-region-external) "uudecode" "mail/uudecode.el"
+;;;;;;  (18392 19203))
+;;; Generated autoloads from mail/uudecode.el
 
 (autoload 'uudecode-decode-region-external "uudecode" "\
 Uudecode region between START and END using external program.
@@ -29264,10 +29193,10 @@ If FILE-NAME is non-nil, save the result to FILE-NAME.
 ;;;### (autoloads (vc-annotate vc-branch-part vc-trunk-p vc-update-change-log
 ;;;;;;  vc-rename-file vc-transfer-file vc-switch-backend vc-update
 ;;;;;;  vc-rollback vc-revert vc-print-log vc-retrieve-snapshot vc-create-snapshot
-;;;;;;  vc-directory vc-merge vc-insert-headers vc-revision-other-window
+;;;;;;  vc-status vc-directory vc-merge vc-insert-headers vc-revision-other-window
 ;;;;;;  vc-diff vc-version-diff vc-register vc-next-action vc-do-command
 ;;;;;;  edit-vc-file with-vc-file vc-before-checkin-hook vc-checkin-hook
-;;;;;;  vc-checkout-hook) "vc" "vc.el" (18214 4763))
+;;;;;;  vc-checkout-hook) "vc" "vc.el" (18425 12540))
 ;;; Generated autoloads from vc.el
 
 (defvar vc-checkout-hook nil "\
@@ -29355,7 +29284,8 @@ merge in the changes into your working copy.
 \(fn VERBOSE)" t nil)
 
 (autoload 'vc-register "vc" "\
-Register the current file into a version control system.
+Register into a version control system.
+If FNAME is given register that file, otherwise register the current file.
 With prefix argument SET-REVISION, allow user to specify initial revision
 level.  If COMMENT is present, use that as an initial comment.
 
@@ -29366,7 +29296,7 @@ directory are already registered under that backend) will be used to
 register the file.  If no backend declares itself responsible, the
 first backend that could register the file is used.
 
-\(fn &optional SET-REVISION COMMENT)" t nil)
+\(fn &optional FNAME SET-REVISION COMMENT)" t nil)
 
 (autoload 'vc-version-diff "vc" "\
 Report diffs between revisions of the fileset in the repository history.
@@ -29425,6 +29355,11 @@ With prefix arg READ-SWITCHES, specify a value to override
 
 \(fn DIR READ-SWITCHES)" t nil)
 
+(autoload 'vc-status "vc" "\
+Show the VC status for DIR.
+
+\(fn DIR)" t nil)
+
 (autoload 'vc-create-snapshot "vc" "\
 Descending recursively from DIR, make a snapshot called NAME.
 For each registered file, the working revision becomes part of
@@ -29546,6 +29481,8 @@ should cover.  For example, a time span of 20 days means that changes
 over the past 20 days are shown in red to blue, according to their
 age, and everything that is older than that is shown in blue.
 
+If MOVE-POINT-TO is given, move the point to that line.
+
 Customization variables:
 
 `vc-annotate-menu-elements' customizes the menu elements of the
@@ -29553,11 +29490,11 @@ mode-specific menu.  `vc-annotate-color-map' and
 `vc-annotate-very-old-color' define the mapping of time to colors.
 `vc-annotate-background' specifies the background color.
 
-\(fn FILE REV &optional DISPLAY-MODE BUF)" t nil)
+\(fn FILE REV &optional DISPLAY-MODE BUF MOVE-POINT-TO)" t nil)
 
 ;;;***
 \f
-;;;### (autoloads nil "vc-arch" "vc-arch.el" (18201 33325))
+;;;### (autoloads nil "vc-arch" "vc-arch.el" (18335 54517))
 ;;; Generated autoloads from vc-arch.el
  (defun vc-arch-registered (file)
   (if (vc-find-root file "{arch}/=tagging-method")
@@ -29567,7 +29504,7 @@ mode-specific menu.  `vc-annotate-color-map' and
 
 ;;;***
 \f
-;;;### (autoloads nil "vc-bzr" "vc-bzr.el" (18201 33325))
+;;;### (autoloads nil "vc-bzr" "vc-bzr.el" (18424 5731))
 ;;; Generated autoloads from vc-bzr.el
 
 (defconst vc-bzr-admin-dirname ".bzr" "\
@@ -29582,7 +29519,7 @@ Name of the directory containing Bzr repository status files.")
 
 ;;;***
 \f
-;;;### (autoloads nil "vc-cvs" "vc-cvs.el" (18201 33326))
+;;;### (autoloads nil "vc-cvs" "vc-cvs.el" (18427 42292))
 ;;; Generated autoloads from vc-cvs.el
  (defun vc-cvs-registered (f)
   (when (file-readable-p (expand-file-name
@@ -29592,7 +29529,7 @@ Name of the directory containing Bzr repository status files.")
 
 ;;;***
 \f
-;;;### (autoloads nil "vc-git" "vc-git.el" (18201 33364))
+;;;### (autoloads nil "vc-git" "vc-git.el" (18416 36501))
 ;;; Generated autoloads from vc-git.el
  (defun vc-git-registered (file)
   "Return non-nil if FILE is registered with git."
@@ -29603,7 +29540,7 @@ Name of the directory containing Bzr repository status files.")
 
 ;;;***
 \f
-;;;### (autoloads nil "vc-hg" "vc-hg.el" (18203 37787))
+;;;### (autoloads nil "vc-hg" "vc-hg.el" (18417 4383))
 ;;; Generated autoloads from vc-hg.el
  (defun vc-hg-registered (file)
   "Return non-nil if FILE is registered with hg."
@@ -29614,7 +29551,7 @@ Name of the directory containing Bzr repository status files.")
 
 ;;;***
 \f
-;;;### (autoloads nil "vc-mcvs" "vc-mcvs.el" (18201 33326))
+;;;### (autoloads nil "vc-mcvs" "vc-mcvs.el" (18370 13443))
 ;;; Generated autoloads from vc-mcvs.el
  (defun vc-mcvs-registered (file)
   (if (vc-find-root file "MCVS/CVS")
@@ -29624,7 +29561,7 @@ Name of the directory containing Bzr repository status files.")
 
 ;;;***
 \f
-;;;### (autoloads nil "vc-mtn" "vc-mtn.el" (18202 3996))
+;;;### (autoloads nil "vc-mtn" "vc-mtn.el" (18420 18524))
 ;;; Generated autoloads from vc-mtn.el
 
 (defconst vc-mtn-admin-dir "_MTN")
@@ -29639,7 +29576,7 @@ Name of the directory containing Bzr repository status files.")
 ;;;***
 \f
 ;;;### (autoloads (vc-rcs-master-templates) "vc-rcs" "vc-rcs.el"
-;;;;;;  (18190 46608))
+;;;;;;  (18427 42292))
 ;;; Generated autoloads from vc-rcs.el
 
 (defvar vc-rcs-master-templates '("%sRCS/%s,v" "%s%s,v" "%sRCS/%s") "\
@@ -29652,7 +29589,7 @@ For a description of possible values, see `vc-check-master-templates'.")
 ;;;***
 \f
 ;;;### (autoloads (vc-sccs-master-templates) "vc-sccs" "vc-sccs.el"
-;;;;;;  (18190 46608))
+;;;;;;  (18423 20180))
 ;;; Generated autoloads from vc-sccs.el
 
 (defvar vc-sccs-master-templates '("%sSCCS/s.%s" "%ss.%s" vc-sccs-search-project-dir) "\
@@ -29669,7 +29606,7 @@ find any project directory." (let ((project-dir (getenv "PROJECTDIR")) dirs dir)
 
 ;;;***
 \f
-;;;### (autoloads nil "vc-svn" "vc-svn.el" (18201 33326))
+;;;### (autoloads nil "vc-svn" "vc-svn.el" (18428 27944))
 ;;; Generated autoloads from vc-svn.el
  (defun vc-svn-registered (f)
   (let ((admin-dir (cond ((and (eq system-type 'windows-nt)
@@ -29687,7 +29624,7 @@ find any project directory." (let ((project-dir (getenv "PROJECTDIR")) dirs dir)
 ;;;***
 \f
 ;;;### (autoloads (vera-mode) "vera-mode" "progmodes/vera-mode.el"
-;;;;;;  (18203 37789))
+;;;;;;  (18335 54543))
 ;;; Generated autoloads from progmodes/vera-mode.el
  (add-to-list 'auto-mode-alist '("\\.vr[hi]?\\'" . vera-mode))
 
@@ -29742,10 +29679,147 @@ Key bindings:
 
 \(fn)" t nil)
 
+;;;***
+\f
+;;;### (autoloads (verilog-mode) "verilog-mode" "progmodes/verilog-mode.el"
+;;;;;;  (18413 14497))
+;;; Generated autoloads from progmodes/verilog-mode.el
+
+(autoload 'verilog-mode "verilog-mode" "\
+Major mode for editing Verilog code.
+\\<verilog-mode-map>
+See \\[describe-function] verilog-auto (\\[verilog-auto]) for details on how
+AUTOs can improve coding efficiency.
+
+Use \\[verilog-faq] for a pointer to frequently asked questions.
+
+NEWLINE, TAB indents for Verilog code.
+Delete converts tabs to spaces as it moves back.
+
+Supports highlighting.
+
+Turning on Verilog mode calls the value of the variable `verilog-mode-hook'
+with no args, if that value is non-nil.
+
+Variables controlling indentation/edit style:
+
+ variable `verilog-indent-level'      (default 3)
+   Indentation of Verilog statements with respect to containing block.
+ `verilog-indent-level-module'        (default 3)
+   Absolute indentation of Module level Verilog statements.
+   Set to 0 to get initial and always statements lined up
+   on the left side of your screen.
+ `verilog-indent-level-declaration'   (default 3)
+   Indentation of declarations with respect to containing block.
+   Set to 0 to get them list right under containing block.
+ `verilog-indent-level-behavioral'    (default 3)
+   Indentation of first begin in a task or function block
+   Set to 0 to get such code to lined up underneath the task or
+   function keyword.
+ `verilog-indent-level-directive'     (default 1)
+   Indentation of `ifdef/`endif blocks.
+ `verilog-cexp-indent'              (default 1)
+   Indentation of Verilog statements broken across lines i.e.:
+      if (a)
+        begin
+ `verilog-case-indent'              (default 2)
+   Indentation for case statements.
+ `verilog-auto-newline'             (default nil)
+   Non-nil means automatically newline after semicolons and the punctuation
+   mark after an end.
+ `verilog-auto-indent-on-newline'   (default t)
+   Non-nil means automatically indent line after newline.
+ `verilog-tab-always-indent'        (default t)
+   Non-nil means TAB in Verilog mode should always reindent the current line,
+   regardless of where in the line point is when the TAB command is used.
+ `verilog-indent-begin-after-if'    (default t)
+   Non-nil means to indent begin statements following a preceding
+   if, else, while, for and repeat statements, if any.  Otherwise,
+   the begin is lined up with the preceding token.  If t, you get:
+      if (a)
+         begin // amount of indent based on `verilog-cexp-indent'
+   otherwise you get:
+      if (a)
+      begin
+ `verilog-auto-endcomments'         (default t)
+   Non-nil means a comment /* ... */ is set after the ends which ends
+   cases, tasks, functions and modules.
+   The type and name of the object will be set between the braces.
+ `verilog-minimum-comment-distance' (default 10)
+   Minimum distance (in lines) between begin and end required before a comment
+   will be inserted.  Setting this variable to zero results in every
+   end acquiring a comment; the default avoids too many redundant
+   comments in tight quarters.
+ `verilog-auto-lineup'              (default `(all))
+   List of contexts where auto lineup of code should be done.
+
+Variables controlling other actions:
+
+ `verilog-linter'                   (default surelint)
+   Unix program to call to run the lint checker.  This is the default
+   command for \\[compile-command] and \\[verilog-auto-save-compile].
+
+See \\[customize] for the complete list of variables.
+
+AUTO expansion functions are, in part:
+
+    \\[verilog-auto]  Expand AUTO statements.
+    \\[verilog-delete-auto]  Remove the AUTOs.
+    \\[verilog-inject-auto]  Insert AUTOs for the first time.
+
+Some other functions are:
+
+    \\[verilog-complete-word]    Complete word with appropriate possibilities.
+    \\[verilog-mark-defun]  Mark function.
+    \\[verilog-beg-of-defun]  Move to beginning of current function.
+    \\[verilog-end-of-defun]  Move to end of current function.
+    \\[verilog-label-be]  Label matching begin ... end, fork ... join, etc statements.
+
+    \\[verilog-comment-region]  Put marked area in a comment.
+    \\[verilog-uncomment-region]  Uncomment an area commented with \\[verilog-comment-region].
+    \\[verilog-insert-block]  Insert begin ... end.
+    \\[verilog-star-comment]    Insert /* ... */.
+
+    \\[verilog-sk-always]  Insert an always @(AS) begin .. end block.
+    \\[verilog-sk-begin]  Insert a begin .. end block.
+    \\[verilog-sk-case]  Insert a case block, prompting for details.
+    \\[verilog-sk-for]  Insert a for (...) begin .. end block, prompting for details.
+    \\[verilog-sk-generate]  Insert a generate .. endgenerate block.
+    \\[verilog-sk-header]  Insert a header block at the top of file.
+    \\[verilog-sk-initial]  Insert an initial begin .. end block.
+    \\[verilog-sk-fork]  Insert a fork begin .. end .. join block.
+    \\[verilog-sk-module]  Insert a module .. (/*AUTOARG*/);.. endmodule block.
+    \\[verilog-sk-primitive]  Insert a primitive .. (.. );.. endprimitive block.
+    \\[verilog-sk-repeat]  Insert a repeat (..) begin .. end block.
+    \\[verilog-sk-specify]  Insert a specify .. endspecify block.
+    \\[verilog-sk-task]  Insert a task .. begin .. end endtask block.
+    \\[verilog-sk-while]  Insert a while (...) begin .. end block, prompting for details.
+    \\[verilog-sk-casex]  Insert a casex (...) item: begin.. end endcase block, prompting for details.
+    \\[verilog-sk-casez]  Insert a casez (...) item: begin.. end endcase block, prompting for details.
+    \\[verilog-sk-if]  Insert an if (..) begin .. end block.
+    \\[verilog-sk-else-if]  Insert an else if (..) begin .. end block.
+    \\[verilog-sk-comment]  Insert a comment block.
+    \\[verilog-sk-assign]  Insert an assign .. = ..; statement.
+    \\[verilog-sk-function]  Insert a function .. begin .. end endfunction block.
+    \\[verilog-sk-input]  Insert an input declaration, prompting for details.
+    \\[verilog-sk-output]  Insert an output declaration, prompting for details.
+    \\[verilog-sk-state-machine]  Insert a state machine definition, prompting for details.
+    \\[verilog-sk-inout]  Insert an inout declaration, prompting for details.
+    \\[verilog-sk-wire]  Insert a wire declaration, prompting for details.
+    \\[verilog-sk-reg]  Insert a register declaration, prompting for details.
+    \\[verilog-sk-define-signal]  Define signal under point as a register at the top of the module.
+
+All key bindings can be seen in a Verilog-buffer with \\[describe-bindings].
+Key bindings specific to `verilog-mode-map' are:
+
+\\{verilog-mode-map}
+
+\(fn)" t nil)
+
 ;;;***
 \f
 ;;;### (autoloads (vhdl-mode) "vhdl-mode" "progmodes/vhdl-mode.el"
-;;;;;;  (18203 37789))
+;;;;;;  (18423 20180))
 ;;; Generated autoloads from progmodes/vhdl-mode.el
 
 (autoload 'vhdl-mode "vhdl-mode" "\
@@ -30286,7 +30360,7 @@ Key bindings:
 
 ;;;***
 \f
-;;;### (autoloads (vi-mode) "vi" "emulation/vi.el" (18201 33326))
+;;;### (autoloads (vi-mode) "vi" "emulation/vi.el" (18277 61683))
 ;;; Generated autoloads from emulation/vi.el
 
 (autoload 'vi-mode "vi" "\
@@ -30341,7 +30415,7 @@ Syntax table and abbrevs while in vi mode remain as they were in Emacs.
 ;;;### (autoloads (viqr-pre-write-conversion viqr-post-read-conversion
 ;;;;;;  viet-encode-viqr-buffer viet-encode-viqr-region viet-decode-viqr-buffer
 ;;;;;;  viet-decode-viqr-region viet-encode-viscii-char) "viet-util"
-;;;;;;  "language/viet-util.el" (18088 55109))
+;;;;;;  "language/viet-util.el" (18341 12912))
 ;;; Generated autoloads from language/viet-util.el
 
 (autoload 'viet-encode-viscii-char "viet-util" "\
@@ -30385,12 +30459,19 @@ Not documented
 
 ;;;***
 \f
-;;;### (autoloads (View-exit-and-edit view-mode-enter view-mode view-buffer-other-frame
-;;;;;;  view-buffer-other-window view-buffer view-file-other-frame
-;;;;;;  view-file-other-window view-file) "view" "view.el" (18169
-;;;;;;  11932))
+;;;### (autoloads (View-exit-and-edit view-mode-enter view-return-to-alist-update
+;;;;;;  view-mode view-buffer-other-frame view-buffer-other-window
+;;;;;;  view-buffer view-file-other-frame view-file-other-window
+;;;;;;  view-file kill-buffer-if-not-modified view-remove-frame-by-deleting)
+;;;;;;  "view" "view.el" (18341 12906))
 ;;; Generated autoloads from view.el
 
+(defvar view-remove-frame-by-deleting t "\
+*Determine how View mode removes a frame no longer needed.
+If nil, make an icon of the frame.  If non-nil, delete the frame.")
+
+(custom-autoload 'view-remove-frame-by-deleting "view" t)
+
 (defvar view-mode nil "\
 Non-nil if View mode is enabled.
 Don't change this variable directly, you must change it by one of the
@@ -30398,13 +30479,18 @@ functions that enable or disable view mode.")
 
 (make-variable-buffer-local 'view-mode)
 
+(autoload 'kill-buffer-if-not-modified "view" "\
+Like `kill-buffer', but does nothing if the buffer is modified.
+
+\(fn BUF)" nil nil)
+
 (autoload 'view-file "view" "\
 View FILE in View mode, returning to previous buffer when done.
-Emacs commands editing the buffer contents are not available; instead,
-a special set of commands (mostly letters and punctuation)
-are defined for moving around in the buffer.
+Emacs commands editing the buffer contents are not available; instead, a
+special set of commands (mostly letters and punctuation) are defined for
+moving around in the buffer.
 Space scrolls forward, Delete scrolls backward.
-For list of all View commands, type H or h while viewing.
+For list of all View commands, type H or h while viewing.
 
 This command runs the normal hook `view-mode-hook'.
 
@@ -30412,12 +30498,14 @@ This command runs the normal hook `view-mode-hook'.
 
 (autoload 'view-file-other-window "view" "\
 View FILE in View mode in another window.
-Return that window to its previous buffer when done.
+When done, return that window to its previous buffer, and kill the
+buffer visiting FILE if unmodified and if it wasn't visited before.
+
 Emacs commands editing the buffer contents are not available; instead,
 a special set of commands (mostly letters and punctuation)
 are defined for moving around in the buffer.
 Space scrolls forward, Delete scrolls backward.
-For list of all View commands, type H or h while viewing.
+For list of all View commands, type H or h while viewing.
 
 This command runs the normal hook `view-mode-hook'.
 
@@ -30425,12 +30513,15 @@ This command runs the normal hook `view-mode-hook'.
 
 (autoload 'view-file-other-frame "view" "\
 View FILE in View mode in another frame.
-Maybe delete other frame and/or return to previous buffer when done.
+When done, kill the buffer visiting FILE if unmodified and if it wasn't
+visited before; also, maybe delete other frame and/or return to previous
+buffer.
+
 Emacs commands editing the buffer contents are not available; instead,
 a special set of commands (mostly letters and punctuation)
 are defined for moving around in the buffer.
 Space scrolls forward, Delete scrolls backward.
-For list of all View commands, type H or h while viewing.
+For list of all View commands, type H or h while viewing.
 
 This command runs the normal hook `view-mode-hook'.
 
@@ -30438,51 +30529,56 @@ This command runs the normal hook `view-mode-hook'.
 
 (autoload 'view-buffer "view" "\
 View BUFFER in View mode, returning to previous buffer when done.
-Emacs commands editing the buffer contents are not available; instead,
-a special set of commands (mostly letters and punctuation)
-are defined for moving around in the buffer.
+Emacs commands editing the buffer contents are not available; instead, a
+special set of commands (mostly letters and punctuation) are defined for
+moving around in the buffer.
 Space scrolls forward, Delete scrolls backward.
-For list of all View commands, type H or h while viewing.
+For list of all View commands, type H or h while viewing.
 
 This command runs the normal hook `view-mode-hook'.
 
 Optional argument EXIT-ACTION is either nil or a function with buffer as
-argument.  This function is called when finished viewing buffer.
-Use this argument instead of explicitly setting `view-exit-action'.
+argument.  This function is called when finished viewing buffer.  Use
+this argument instead of explicitly setting `view-exit-action'.
+
+Do not set EXIT-ACTION to `kill-buffer' when BUFFER visits a
+file: Users may suspend viewing in order to modify the buffer.
+Exiting View mode will then discard the user's edits.  Setting
+EXIT-ACTION to `kill-buffer-if-not-modified' avoids this.
 
 \(fn BUFFER &optional EXIT-ACTION)" t nil)
 
 (autoload 'view-buffer-other-window "view" "\
 View BUFFER in View mode in another window.
-Return to previous buffer when done, unless optional NOT-RETURN is non-nil.
-Emacs commands editing the buffer contents are not available; instead,
-a special set of commands (mostly letters and punctuation)
-are defined for moving around in the buffer.
+Return to previous buffer when done, unless optional NOT-RETURN is
+non-nil.  Emacs commands editing the buffer contents are not available;
+instead, a special set of commands (mostly letters and punctuation) are
+defined for moving around in the buffer.
 Space scrolls forward, Delete scrolls backward.
-For list of all View commands, type H or h while viewing.
+For list of all View commands, type H or h while viewing.
 
 This command runs the normal hook `view-mode-hook'.
 
 Optional argument EXIT-ACTION is either nil or a function with buffer as
-argument.  This function is called when finished viewing buffer.
-Use this argument instead of explicitly setting `view-exit-action'.
+argument.  This function is called when finished viewing buffer.  Use
+this argument instead of explicitly setting `view-exit-action'.
 
 \(fn BUFFER &optional NOT-RETURN EXIT-ACTION)" t nil)
 
 (autoload 'view-buffer-other-frame "view" "\
 View BUFFER in View mode in another frame.
-Return to previous buffer when done, unless optional NOT-RETURN is non-nil.
-Emacs commands editing the buffer contents are not available; instead,
-a special set of commands (mostly letters and punctuation)
-are defined for moving around in the buffer.
+Return to previous buffer when done, unless optional NOT-RETURN is
+non-nil.  Emacs commands editing the buffer contents are not available;
+instead, a special set of commands (mostly letters and punctuation) are
+defined for moving around in the buffer.
 Space scrolls forward, Delete scrolls backward.
-For list of all View commands, type H or h while viewing.
+For list of all View commands, type H or h while viewing.
 
 This command runs the normal hook `view-mode-hook'.
 
 Optional argument EXIT-ACTION is either nil or a function with buffer as
-argument.  This function is called when finished viewing buffer.
-Use this argument instead of explicitly setting `view-exit-action'.
+argument.  This function is called when finished viewing buffer.  Use
+this argument instead of explicitly setting `view-exit-action'.
 
 \(fn BUFFER &optional NOT-RETURN EXIT-ACTION)" t nil)
 
@@ -30566,26 +30662,41 @@ Entry to view-mode runs the normal hook `view-mode-hook'.
 
 \(fn &optional ARG)" t nil)
 
+(autoload 'view-return-to-alist-update "view" "\
+Update `view-return-to-alist' of buffer BUFFER.
+Remove from `view-return-to-alist' all entries referencing dead
+windows.  Optional argument ITEM non-nil means add ITEM to
+`view-return-to-alist' after purging.  For a decsription of items
+that can be added see the RETURN-TO-ALIST argument of the
+function `view-mode-exit'.  If `view-return-to-alist' contains an
+entry for the selected window, purge that entry from
+`view-return-to-alist' before adding ITEM.
+
+\(fn BUFFER &optional ITEM)" nil nil)
+
 (autoload 'view-mode-enter "view" "\
 Enter View mode and set up exit from view mode depending on optional arguments.
-If RETURN-TO is non-nil it is added as an element to the buffer local alist
-`view-return-to-alist'.
-Save EXIT-ACTION in buffer local variable `view-exit-action'.
-It should be either nil or a function that takes a buffer as argument.
-This function will be called by `view-mode-exit'.
-
-RETURN-TO is either nil, meaning do nothing when exiting view mode, or
-it has the format (WINDOW OLD-WINDOW . OLD-BUF-INFO).
-WINDOW is a window used for viewing.
-OLD-WINDOW is nil or the window to select after viewing.
-OLD-BUF-INFO tells what to do with WINDOW when exiting.  It is one of:
-1) nil       Do nothing.
-2) t         Delete WINDOW or, if it is the only window, its frame.
+RETURN-TO non-nil means add RETURN-TO as an element to the buffer
+local alist `view-return-to-alist'.  Save EXIT-ACTION in buffer
+local variable `view-exit-action'.  It should be either nil or a
+function that takes a buffer as argument.  This function will be
+called by `view-mode-exit'.
+
+RETURN-TO is either nil, meaning do nothing when exiting view
+mode, or must have the format (WINDOW OLD-WINDOW . OLD-BUF-INFO).
+WINDOW is the window used for viewing.  OLD-WINDOW is nil or the
+window to select after viewing.  OLD-BUF-INFO tells what to do
+with WINDOW when exiting.  It is one of:
+1) nil            Do nothing.
+2) t              Delete WINDOW or, if it is the only window and
+                  `view-remove-frame-by-deleting' is non-nil, its
+                  frame.
 3) (OLD-BUFF START POINT)  Display buffer OLD-BUFF with displayed text
-                           starting at START and point at POINT in WINDOW.
-4) quit-window   Do `quit-window' in WINDOW.
+                  starting at START and point at POINT in WINDOW.
+4) quit-window    Do `quit-window' in WINDOW.
+5) keep-frame     Like case 2) but do not delete the frame.
 
-For list of all View commands, type H or h while viewing.
+For list of all View commands, type H or h while viewing.
 
 This function runs the normal hook `view-mode-hook'.
 
@@ -30598,8 +30709,8 @@ Exit View mode and make the current buffer editable.
 
 ;;;***
 \f
-;;;### (autoloads (vip-mode vip-setup) "vip" "emulation/vip.el" (18088
-;;;;;;  55097))
+;;;### (autoloads (vip-mode vip-setup) "vip" "emulation/vip.el" (18367
+;;;;;;  13961))
 ;;; Generated autoloads from emulation/vip.el
 
 (autoload 'vip-setup "vip" "\
@@ -30615,7 +30726,7 @@ Turn on VIP emulation of VI.
 ;;;***
 \f
 ;;;### (autoloads (viper-mode toggle-viper-mode) "viper" "emulation/viper.el"
-;;;;;;  (18213 13953))
+;;;;;;  (18425 12540))
 ;;; Generated autoloads from emulation/viper.el
 
 (autoload 'toggle-viper-mode "viper" "\
@@ -30632,7 +30743,7 @@ Turn on Viper emulation of Vi in Emacs. See Info node `(viper)Top'.
 ;;;***
 \f
 ;;;### (autoloads (warn lwarn display-warning) "warnings" "emacs-lisp/warnings.el"
-;;;;;;  (18088 55096))
+;;;;;;  (18335 54523))
 ;;; Generated autoloads from emacs-lisp/warnings.el
 
 (defvar warning-prefix-function nil "\
@@ -30722,7 +30833,7 @@ this is equivalent to `display-warning', using
 ;;;***
 \f
 ;;;### (autoloads (wdired-change-to-wdired-mode) "wdired" "wdired.el"
-;;;;;;  (18214 4479))
+;;;;;;  (18360 55731))
 ;;; Generated autoloads from wdired.el
 
 (autoload 'wdired-change-to-wdired-mode "wdired" "\
@@ -30738,7 +30849,7 @@ See `wdired-mode'.
 
 ;;;***
 \f
-;;;### (autoloads (webjump) "webjump" "net/webjump.el" (18088 55112))
+;;;### (autoloads (webjump) "webjump" "net/webjump.el" (18335 54539))
 ;;; Generated autoloads from net/webjump.el
 
 (autoload 'webjump "webjump" "\
@@ -30755,7 +30866,7 @@ Please submit bug reports and other feedback to the author, Neil W. Van Dyke
 ;;;***
 \f
 ;;;### (autoloads (which-function-mode) "which-func" "progmodes/which-func.el"
-;;;;;;  (18147 59474))
+;;;;;;  (18335 54543))
 ;;; Generated autoloads from progmodes/which-func.el
  (put 'which-func-format 'risky-local-variable t)
  (put 'which-func-current 'risky-local-variable t)
@@ -30783,103 +30894,252 @@ and off otherwise.
 
 ;;;***
 \f
-;;;### (autoloads (whitespace-write-file-hook whitespace-global-mode
-;;;;;;  whitespace-cleanup-region whitespace-cleanup whitespace-region
-;;;;;;  whitespace-buffer whitespace-toggle-ateol-check whitespace-toggle-spacetab-check
-;;;;;;  whitespace-toggle-indent-check whitespace-toggle-trailing-check
-;;;;;;  whitespace-toggle-leading-check) "whitespace" "whitespace.el"
-;;;;;;  (18169 11932))
+;;;### (autoloads (whitespace-report-region whitespace-report whitespace-cleanup-region
+;;;;;;  whitespace-cleanup global-whitespace-toggle-options whitespace-toggle-options
+;;;;;;  whitespace-mode) "whitespace" "whitespace.el" (18377 47963))
 ;;; Generated autoloads from whitespace.el
 
-(autoload 'whitespace-toggle-leading-check "whitespace" "\
-Toggle the check for leading space in the local buffer.
+(autoload 'whitespace-mode "whitespace" "\
+Toggle whitespace minor mode visualization (\"ws\" on modeline).
 
-\(fn)" t nil)
+If ARG is null, toggle whitespace visualization.
+If ARG is a number greater than zero, turn on visualization;
+otherwise, turn off visualization.
+Only useful with a windowing system.
 
-(autoload 'whitespace-toggle-trailing-check "whitespace" "\
-Toggle the check for trailing space in the local buffer.
+\(fn &optional ARG)" t nil)
 
-\(fn)" t nil)
+(autoload 'whitespace-toggle-options "whitespace" "\
+Toggle local `whitespace-mode' options.
+
+If local whitespace-mode is off, toggle the option given by ARG
+and turn on local whitespace-mode.
+
+If local whitespace-mode is on, toggle the option given by ARG
+and restart local whitespace-mode.
+
+Interactively, it reads one of the following chars:
+
+  CHAR MEANING
+   t   toggle TAB visualization
+   s   toggle SPACE and HARD SPACE visualization
+   r   toggle trailing blanks visualization
+   b   toggle SPACEs before TAB visualization
+   l   toggle \"long lines\" visualization
+   L   toggle \"long lines\" tail visualization
+   n   toggle NEWLINE visualization
+   i   toggle indentation SPACEs visualization
+   e   toggle empty line at bob and/or eob visualization
+   a   toggle SPACEs after TAB visualization
+   c   toggle color faces
+   m   toggle visual mark
+   x   restore `whitespace-chars' value
+   z   restore `whitespace-style' value
+   ?   display brief help
+
+Non-interactively, ARG should be a symbol or a list of symbols.
+The valid symbols are:
+
+   tabs                        toggle TAB visualization
+   spaces              toggle SPACE and HARD SPACE visualization
+   trailing            toggle trailing blanks visualization
+   space-before-tab    toggle SPACEs before TAB visualization
+   lines               toggle \"long lines\" visualization
+   lines-tail          toggle \"long lines\" tail visualization
+   newline             toggle NEWLINE visualization
+   indentation         toggle indentation SPACEs visualization
+   empty               toggle empty line at bob and/or eob visualization
+   space-after-tab     toggle SPACEs after TAB visualization
+   color               toggle color faces
+   mark                        toggle visual mark
+   whitespace-chars    restore `whitespace-chars' value
+   whitespace-style    restore `whitespace-style' value
+
+Only useful with a windowing system.
 
-(autoload 'whitespace-toggle-indent-check "whitespace" "\
-Toggle the check for indentation space in the local buffer.
+\(fn ARG)" t nil)
 
-\(fn)" t nil)
+(autoload 'global-whitespace-toggle-options "whitespace" "\
+Toggle global `whitespace-mode' options.
+
+If global whitespace-mode is off, toggle the option given by ARG
+and turn on global whitespace-mode.
+
+If global whitespace-mode is on, toggle the option given by ARG
+and restart global whitespace-mode.
+
+Interactively, it accepts one of the following chars:
+
+  CHAR MEANING
+   t   toggle TAB visualization
+   s   toggle SPACE and HARD SPACE visualization
+   r   toggle trailing blanks visualization
+   b   toggle SPACEs before TAB visualization
+   l   toggle \"long lines\" visualization
+   L   toggle \"long lines\" tail visualization
+   n   toggle NEWLINE visualization
+   i   toggle indentation SPACEs visualization
+   e   toggle empty line at bob and/or eob visualization
+   a   toggle SPACEs after TAB visualization
+   c   toggle color faces
+   m   toggle visual mark
+   x   restore `whitespace-chars' value
+   z   restore `whitespace-style' value
+   ?   display brief help
+
+Non-interactively, ARG should be a symbol or a list of symbols.
+The valid symbols are:
+
+   tabs                        toggle TAB visualization
+   spaces              toggle SPACE and HARD SPACE visualization
+   trailing            toggle trailing blanks visualization
+   space-before-tab    toggle SPACEs before TAB visualization
+   lines               toggle \"long lines\" visualization
+   lines-tail          toggle \"long lines\" tail visualization
+   newline             toggle NEWLINE visualization
+   indentation         toggle indentation SPACEs visualization
+   empty               toggle empty line at bob and/or eob visualization
+   space-after-tab     toggle SPACEs after TAB visualization
+   color               toggle color faces
+   mark                        toggle visual mark
+   whitespace-chars    restore `whitespace-chars' value
+   whitespace-style    restore `whitespace-style' value
+
+Only useful with a windowing system.
 
-(autoload 'whitespace-toggle-spacetab-check "whitespace" "\
-Toggle the check for space-followed-by-TABs in the local buffer.
+\(fn ARG)" t nil)
 
-\(fn)" t nil)
+(autoload 'whitespace-cleanup "whitespace" "\
+Cleanup some blank problems in all buffer or at region.
 
-(autoload 'whitespace-toggle-ateol-check "whitespace" "\
-Toggle the check for end-of-line space in the local buffer.
+It usually applies to the whole buffer, but in transient mark
+mode when the mark is active, it applies to the region.  It also
+applies to the region when it is not in transiente mark mode, the
+mark is active and \\[universal-argument] was pressed just before calling
+`whitespace-cleanup' interactively.
 
-\(fn)" t nil)
+See also `whitespace-cleanup-region'.
 
-(autoload 'whitespace-buffer "whitespace" "\
-Find five different types of white spaces in buffer.
-These are:
-1. Leading space (empty lines at the top of a file).
-2. Trailing space (empty lines at the end of a file).
-3. Indentation space (8 or more spaces, that should be replaced with TABS).
-4. Spaces followed by a TAB. (Almost always, we never want that).
-5. Spaces or TABS at the end of a line.
+The problems cleaned up are:
 
-Check for whitespace only if this buffer really contains a non-empty file
-and:
-1. the major mode is one of the whitespace-modes, or
-2. `whitespace-buffer' was explicitly called with a prefix argument.
+1. empty lines at beginning of buffer.
+2. empty lines at end of buffer.
+   If `whitespace-chars' includes the value `empty', remove all
+   empty lines at beginning and/or end of buffer.
 
-\(fn &optional QUIET)" t nil)
+3. 8 or more SPACEs at beginning of line.
+   If `whitespace-chars' includes the value `indentation', replace
+   8 or more SPACEs at beginning of line by TABs.
 
-(autoload 'whitespace-region "whitespace" "\
-Check the region for whitespace errors.
+4. SPACEs before TAB.
+   If `whitespace-chars' includes the value `space-before-tab',
+   replace SPACEs by TABs.
 
-\(fn S E)" t nil)
+5. SPACEs or TABs at end of line.
+   If `whitespace-chars' includes the value `trailing', remove all
+   SPACEs or TABs at end of line.
 
-(autoload 'whitespace-cleanup "whitespace" "\
-Cleanup the five different kinds of whitespace problems.
-It normally applies to the whole buffer, but in Transient Mark mode
-when the mark is active it applies to the region.
-See `whitespace-buffer' docstring for a summary of the problems.
+6. 8 or more SPACEs after TAB.
+   If `whitespace-chars' includes the value `space-after-tab',
+   replace SPACEs by TABs.
 
 \(fn)" t nil)
 
 (autoload 'whitespace-cleanup-region "whitespace" "\
-Whitespace cleanup on the region.
+Cleanup some blank problems at region.
 
-\(fn S E)" t nil)
+The problems cleaned up are:
 
-(defalias 'global-whitespace-mode 'whitespace-global-mode)
+1. 8 or more SPACEs at beginning of line.
+   If `whitespace-chars' includes the value `indentation', replace
+   8 or more SPACEs at beginning of line by TABs.
 
-(defvar whitespace-global-mode nil "\
-Non-nil if Whitespace-Global mode is enabled.
-See the command `whitespace-global-mode' for a description of this minor mode.
-Setting this variable directly does not take effect;
-either customize it (see the info node `Easy Customization')
-or call the function `whitespace-global-mode'.")
+2. SPACEs before TAB.
+   If `whitespace-chars' includes the value `space-before-tab',
+   replace SPACEs by TABs.
+
+3. SPACEs or TABs at end of line.
+   If `whitespace-chars' includes the value `trailing', remove all
+   SPACEs or TABs at end of line.
 
-(custom-autoload 'whitespace-global-mode "whitespace" nil)
+4. 8 or more SPACEs after TAB.
+   If `whitespace-chars' includes the value `space-after-tab',
+   replace SPACEs by TABs.
 
-(autoload 'whitespace-global-mode "whitespace" "\
-Toggle using Whitespace mode in new buffers.
-With ARG, turn the mode on if ARG is positive, otherwise turn it off.
+\(fn START END)" t nil)
 
-When this mode is active, `whitespace-buffer' is added to
-`find-file-hook' and `kill-buffer-hook'.
+(autoload 'whitespace-report "whitespace" "\
+Report some whitespace problems in buffer.
 
-\(fn &optional ARG)" t nil)
+Return nil if there is no whitespace problem; otherwise, return
+non-nil.
 
-(autoload 'whitespace-write-file-hook "whitespace" "\
-Hook function to be called on the buffer when whitespace check is enabled.
-This is meant to be added buffer-locally to `write-file-functions'.
+If FORCE is non-nil or \\[universal-argument] was pressed just before calling
+`whitespace-report' interactively, it forces `whitespace-chars' to
+have:
 
-\(fn)" t nil)
+   empty
+   indentation
+   space-before-tab
+   trailing
+   space-after-tab
+
+If REPORT-IF-BOGUS is non-nil, it reports only when there are any
+whitespace problems in buffer.
+
+Report if some of the following whitespace problems exist:
+
+   empty               1. empty lines at beginning of buffer.
+   empty               2. empty lines at end of buffer.
+   indentation         3. 8 or more SPACEs at beginning of line.
+   space-before-tab    4. SPACEs before TAB.
+   trailing            5. SPACEs or TABs at end of line.
+   space-after-tab     6. 8 or more SPACEs after TAB.
+
+See `whitespace-chars' and `whitespace-style' for documentation.
+See also `whitespace-cleanup' and `whitespace-cleanup-region' for
+cleaning up these problems.
+
+\(fn &optional FORCE REPORT-IF-BOGUS)" t nil)
+
+(autoload 'whitespace-report-region "whitespace" "\
+Report some whitespace problems in a region.
+
+Return nil if there is no whitespace problem; otherwise, return
+non-nil.
+
+If FORCE is non-nil or \\[universal-argument] was pressed just before calling
+`whitespace-report-region' interactively, it forces `whitespace-chars'
+to have:
+
+   empty
+   indentation
+   space-before-tab
+   trailing
+   space-after-tab
+
+If REPORT-IF-BOGUS is non-nil, it reports only when there are any
+whitespace problems in buffer.
+
+Report if some of the following whitespace problems exist:
+
+   empty               1. empty lines at beginning of buffer.
+   empty               2. empty lines at end of buffer.
+   indentation         3. 8 or more SPACEs at beginning of line.
+   space-before-tab    4. SPACEs before TAB.
+   trailing            5. SPACEs or TABs at end of line.
+   space-after-tab     6. 8 or more SPACEs after TAB.
+
+See `whitespace-chars' and `whitespace-style' for documentation.
+See also `whitespace-cleanup' and `whitespace-cleanup-region' for
+cleaning up these problems.
+
+\(fn START END &optional FORCE REPORT-IF-BOGUS)" t nil)
 
 ;;;***
 \f
 ;;;### (autoloads (widget-minor-mode widget-browse-other-window widget-browse
-;;;;;;  widget-browse-at) "wid-browse" "wid-browse.el" (18149 22003))
+;;;;;;  widget-browse-at) "wid-browse" "wid-browse.el" (18335 54517))
 ;;; Generated autoloads from wid-browse.el
 
 (autoload 'widget-browse-at "wid-browse" "\
@@ -30906,8 +31166,8 @@ With arg, turn widget mode on if and only if arg is positive.
 ;;;***
 \f
 ;;;### (autoloads (widget-setup widget-insert widget-delete widget-create
-;;;;;;  widget-prompt-value widgetp) "wid-edit" "wid-edit.el" (18187
-;;;;;;  36840))
+;;;;;;  widget-prompt-value widgetp) "wid-edit" "wid-edit.el" (18394
+;;;;;;  48033))
 ;;; Generated autoloads from wid-edit.el
 
 (autoload 'widgetp "wid-edit" "\
@@ -30939,7 +31199,7 @@ Call `insert' with ARGS even if surrounding text is read only.
 
 (defalias 'advertised-widget-backward 'widget-backward)
 
-(defvar widget-keymap (let ((map (make-sparse-keymap))) (define-key map "      " 'widget-forward) (define-key map "\e   " 'widget-backward) (define-key map [(shift tab)] 'advertised-widget-backward) (define-key map [backtab] 'widget-backward) (define-key map [down-mouse-2] 'widget-button-click) (define-key map [down-mouse-1] 'widget-button-click) (define-key map "\r" 'widget-button-press) map) "\
+(defvar widget-keymap (let ((map (make-sparse-keymap))) (define-key map "      " 'widget-forward) (define-key map "\e   " 'widget-backward) (define-key map [(shift tab)] 'advertised-widget-backward) (define-key map [backtab] 'widget-backward) (define-key map [down-mouse-2] 'widget-button-click) (define-key map [down-mouse-1] 'widget-button-click) (define-key map [(control 109)] 'widget-button-press) map) "\
 Keymap containing useful binding for buffers containing widgets.
 Recommended as a parent keymap for modes using widgets.")
 
@@ -30951,8 +31211,8 @@ Setup current buffer so editing string widgets works.
 ;;;***
 \f
 ;;;### (autoloads (windmove-default-keybindings windmove-down windmove-right
-;;;;;;  windmove-up windmove-left) "windmove" "windmove.el" (18088
-;;;;;;  55091))
+;;;;;;  windmove-up windmove-left) "windmove" "windmove.el" (18335
+;;;;;;  54517))
 ;;; Generated autoloads from windmove.el
 
 (autoload 'windmove-left "windmove" "\
@@ -31005,7 +31265,7 @@ Default MODIFIER is 'shift.
 ;;;***
 \f
 ;;;### (autoloads (winner-mode winner-mode) "winner" "winner.el"
-;;;;;;  (18120 34750))
+;;;;;;  (18335 54517))
 ;;; Generated autoloads from winner.el
 
 (defvar winner-mode nil "\
@@ -31023,10 +31283,18 @@ With arg, turn Winner mode on if and only if arg is positive.
 
 ;;;***
 \f
-;;;### (autoloads (woman-find-file woman-dired-find-file woman) "woman"
-;;;;;;  "woman.el" (18197 21670))
+;;;### (autoloads (woman-find-file woman-dired-find-file woman woman-locale)
+;;;;;;  "woman" "woman.el" (18351 56657))
 ;;; Generated autoloads from woman.el
 
+(defvar woman-locale nil "\
+String specifying a manual page locale, or nil.
+If a manual page is available in the specified locale
+\(e.g. \"sv_SE.ISO8859-1\"), it will be offered in preference to the
+default version.  Normally, `set-locale-environment' sets this at startup.")
+
+(custom-autoload 'woman-locale "woman" t)
+
 (autoload 'woman "woman" "\
 Browse UN*X man page for TOPIC (Without using external Man program).
 The major browsing mode used is essentially the standard Man mode.
@@ -31060,7 +31328,7 @@ decompress the file if appropriate.  See the documentation for the
 ;;;***
 \f
 ;;;### (autoloads (wordstar-mode) "ws-mode" "emulation/ws-mode.el"
-;;;;;;  (18088 55097))
+;;;;;;  (18335 54524))
 ;;; Generated autoloads from emulation/ws-mode.el
 
 (autoload 'wordstar-mode "ws-mode" "\
@@ -31173,7 +31441,7 @@ The key bindings are:
 ;;;***
 \f
 ;;;### (autoloads (xml-parse-region xml-parse-file) "xml" "xml.el"
-;;;;;;  (18088 55091))
+;;;;;;  (18341 12906))
 ;;; Generated autoloads from xml.el
 
 (autoload 'xml-parse-file "xml" "\
@@ -31198,8 +31466,27 @@ If PARSE-NS is non-nil, then QNAMES are expanded.
 
 ;;;***
 \f
-;;;### (autoloads (xterm-mouse-mode) "xt-mouse" "xt-mouse.el" (18200
-;;;;;;  51264))
+;;;### (autoloads (xmltok-get-declared-encoding-position) "xmltok"
+;;;;;;  "nxml/xmltok.el" (18335 54541))
+;;; Generated autoloads from nxml/xmltok.el
+
+(autoload 'xmltok-get-declared-encoding-position "xmltok" "\
+Return the position of the encoding in the XML declaration at point.
+If there is a well-formed XML declaration starting at point and it
+contains an encoding declaration, then return (START . END)
+where START and END are the positions of the start and the end
+of the encoding name; if there is no encoding declaration return
+the position where and encoding declaration could be inserted.
+If there is XML that is not well-formed that looks like an XML declaration,
+return nil.  Otherwise, return t.
+If LIMIT is non-nil, then do not consider characters beyond LIMIT.
+
+\(fn &optional LIMIT)" nil nil)
+
+;;;***
+\f
+;;;### (autoloads (xterm-mouse-mode) "xt-mouse" "xt-mouse.el" (18413
+;;;;;;  44130))
 ;;; Generated autoloads from xt-mouse.el
 
 (defvar xterm-mouse-mode nil "\
@@ -31228,7 +31515,7 @@ down the SHIFT key while pressing the mouse button.
 ;;;***
 \f
 ;;;### (autoloads (yenc-extract-filename yenc-decode-region) "yenc"
-;;;;;;  "gnus/yenc.el" (18088 55107))
+;;;;;;  "gnus/yenc.el" (18335 54533))
 ;;; Generated autoloads from gnus/yenc.el
 
 (autoload 'yenc-decode-region "yenc" "\
@@ -31244,7 +31531,7 @@ Extract file name from an yenc header.
 ;;;***
 \f
 ;;;### (autoloads (psychoanalyze-pinhead apropos-zippy insert-zippyism
-;;;;;;  yow) "yow" "play/yow.el" (18203 37788))
+;;;;;;  yow) "yow" "play/yow.el" (18335 54541))
 ;;; Generated autoloads from play/yow.el
 
 (autoload 'yow "yow" "\
@@ -31270,7 +31557,7 @@ Zippy goes to the analyst.
 
 ;;;***
 \f
-;;;### (autoloads (zone) "zone" "play/zone.el" (18202 4002))
+;;;### (autoloads (zone) "zone" "play/zone.el" (18335 54541))
 ;;; Generated autoloads from play/zone.el
 
 (autoload 'zone "zone" "\
@@ -31280,14 +31567,15 @@ Zone out, completely.
 
 ;;;***
 \f
-;;;### (autoloads nil nil ("bindings.el" "buff-menu.el" "calc/calc-aent.el"
-;;;;;;  "calc/calc-alg.el" "calc/calc-arith.el" "calc/calc-bin.el"
-;;;;;;  "calc/calc-comb.el" "calc/calc-cplx.el" "calc/calc-embed.el"
-;;;;;;  "calc/calc-ext.el" "calc/calc-fin.el" "calc/calc-forms.el"
-;;;;;;  "calc/calc-frac.el" "calc/calc-funcs.el" "calc/calc-graph.el"
-;;;;;;  "calc/calc-help.el" "calc/calc-incom.el" "calc/calc-keypd.el"
-;;;;;;  "calc/calc-lang.el" "calc/calc-macs.el" "calc/calc-map.el"
-;;;;;;  "calc/calc-math.el" "calc/calc-misc.el" "calc/calc-mode.el"
+;;;### (autoloads nil nil ("abbrev.el" "bindings.el" "buff-menu.el"
+;;;;;;  "button.el" "calc/calc-aent.el" "calc/calc-alg.el" "calc/calc-arith.el"
+;;;;;;  "calc/calc-bin.el" "calc/calc-comb.el" "calc/calc-cplx.el"
+;;;;;;  "calc/calc-embed.el" "calc/calc-ext.el" "calc/calc-fin.el"
+;;;;;;  "calc/calc-forms.el" "calc/calc-frac.el" "calc/calc-funcs.el"
+;;;;;;  "calc/calc-graph.el" "calc/calc-help.el" "calc/calc-incom.el"
+;;;;;;  "calc/calc-keypd.el" "calc/calc-lang.el" "calc/calc-loaddefs.el"
+;;;;;;  "calc/calc-macs.el" "calc/calc-map.el" "calc/calc-math.el"
+;;;;;;  "calc/calc-menu.el" "calc/calc-misc.el" "calc/calc-mode.el"
 ;;;;;;  "calc/calc-mtx.el" "calc/calc-nlfit.el" "calc/calc-poly.el"
 ;;;;;;  "calc/calc-prog.el" "calc/calc-rewr.el" "calc/calc-rules.el"
 ;;;;;;  "calc/calc-sel.el" "calc/calc-stat.el" "calc/calc-store.el"
@@ -31297,29 +31585,32 @@ Zone out, completely.
 ;;;;;;  "calc/calcsel2.el" "calendar/cal-bahai.el" "calendar/cal-china.el"
 ;;;;;;  "calendar/cal-coptic.el" "calendar/cal-french.el" "calendar/cal-html.el"
 ;;;;;;  "calendar/cal-islam.el" "calendar/cal-iso.el" "calendar/cal-julian.el"
-;;;;;;  "calendar/cal-mayan.el" "calendar/cal-menu.el" "calendar/cal-move.el"
-;;;;;;  "calendar/cal-persia.el" "calendar/cal-tex.el" "calendar/cal-x.el"
-;;;;;;  "case-table.el" "cdl.el" "cus-dep.el" "cus-load.el" "cus-start.el"
+;;;;;;  "calendar/cal-loaddefs.el" "calendar/cal-mayan.el" "calendar/cal-menu.el"
+;;;;;;  "calendar/cal-move.el" "calendar/cal-persia.el" "calendar/cal-tex.el"
+;;;;;;  "calendar/cal-x.el" "calendar/diary-loaddefs.el" "calendar/hol-loaddefs.el"
+;;;;;;  "case-table.el" "cdl.el" "cus-dep.el" "cus-face.el" "cus-start.el"
 ;;;;;;  "custom.el" "dframe.el" "dos-fns.el" "dos-vars.el" "dos-w32.el"
 ;;;;;;  "ediff-diff.el" "ediff-init.el" "ediff-merg.el" "ediff-ptch.el"
 ;;;;;;  "ediff-vers.el" "ediff-wind.el" "electric.el" "emacs-lisp/assoc.el"
-;;;;;;  "emacs-lisp/authors.el" "emacs-lisp/avl-tree.el" "emacs-lisp/bindat.el"
-;;;;;;  "emacs-lisp/byte-opt.el" "emacs-lisp/byte-run.el" "emacs-lisp/cl-compat.el"
-;;;;;;  "emacs-lisp/cl-extra.el" "emacs-lisp/cl-loaddefs.el" "emacs-lisp/cl-macs.el"
-;;;;;;  "emacs-lisp/cl-seq.el" "emacs-lisp/cl-specs.el" "emacs-lisp/cust-print.el"
-;;;;;;  "emacs-lisp/find-gc.el" "emacs-lisp/float-sup.el" "emacs-lisp/gulp.el"
-;;;;;;  "emacs-lisp/levents.el" "emacs-lisp/lisp-mnt.el" "emacs-lisp/lisp-mode.el"
-;;;;;;  "emacs-lisp/lisp.el" "emacs-lisp/lmenu.el" "emacs-lisp/lselect.el"
-;;;;;;  "emacs-lisp/lucid.el" "emacs-lisp/map-ynp.el" "emacs-lisp/regi.el"
-;;;;;;  "emacs-lisp/sregex.el" "emacs-lisp/syntax.el" "emacs-lisp/tcover-ses.el"
-;;;;;;  "emacs-lisp/tcover-unsafep.el" "emacs-lock.el" "emulation/cua-gmrk.el"
+;;;;;;  "emacs-lisp/authors.el" "emacs-lisp/avl-tree.el" "emacs-lisp/backquote.el"
+;;;;;;  "emacs-lisp/bindat.el" "emacs-lisp/byte-opt.el" "emacs-lisp/byte-run.el"
+;;;;;;  "emacs-lisp/cl-compat.el" "emacs-lisp/cl-extra.el" "emacs-lisp/cl-loaddefs.el"
+;;;;;;  "emacs-lisp/cl-macs.el" "emacs-lisp/cl-seq.el" "emacs-lisp/cl-specs.el"
+;;;;;;  "emacs-lisp/cust-print.el" "emacs-lisp/edebug.el" "emacs-lisp/find-gc.el"
+;;;;;;  "emacs-lisp/float-sup.el" "emacs-lisp/gulp.el" "emacs-lisp/levents.el"
+;;;;;;  "emacs-lisp/lisp-mnt.el" "emacs-lisp/lisp-mode.el" "emacs-lisp/lisp.el"
+;;;;;;  "emacs-lisp/lmenu.el" "emacs-lisp/lucid.el" "emacs-lisp/map-ynp.el"
+;;;;;;  "emacs-lisp/regi.el" "emacs-lisp/sregex.el" "emacs-lisp/syntax.el"
+;;;;;;  "emacs-lisp/tcover-ses.el" "emacs-lisp/tcover-unsafep.el"
+;;;;;;  "emacs-lisp/timer.el" "emacs-lock.el" "emerge.el" "emulation/cua-gmrk.el"
 ;;;;;;  "emulation/cua-rect.el" "emulation/edt-lk201.el" "emulation/edt-mapper.el"
-;;;;;;  "emulation/edt-pc.el" "emulation/edt-vt100.el" "emulation/tpu-extras.el"
-;;;;;;  "emulation/tpu-mapper.el" "emulation/viper-cmd.el" "emulation/viper-ex.el"
-;;;;;;  "emulation/viper-init.el" "emulation/viper-keym.el" "emulation/viper-macs.el"
-;;;;;;  "emulation/viper-mous.el" "emulation/viper-util.el" "env.el"
-;;;;;;  "erc/erc-backend.el" "erc/erc-goodies.el" "erc/erc-ibuffer.el"
-;;;;;;  "erc/erc-lang.el" "eshell/em-alias.el" "eshell/em-banner.el"
+;;;;;;  "emulation/edt-pc.el" "emulation/edt-vt100.el" "emulation/tpu-edt.el"
+;;;;;;  "emulation/tpu-extras.el" "emulation/vip.el" "emulation/viper-cmd.el"
+;;;;;;  "emulation/viper-ex.el" "emulation/viper-init.el" "emulation/viper-keym.el"
+;;;;;;  "emulation/viper-macs.el" "emulation/viper-mous.el" "emulation/viper-util.el"
+;;;;;;  "env.el" "erc/erc-backend.el" "erc/erc-button.el" "erc/erc-goodies.el"
+;;;;;;  "erc/erc-ibuffer.el" "erc/erc-lang.el" "erc/erc-match.el"
+;;;;;;  "erc/erc-track.el" "erc/erc.el" "eshell/em-alias.el" "eshell/em-banner.el"
 ;;;;;;  "eshell/em-basic.el" "eshell/em-cmpl.el" "eshell/em-dirs.el"
 ;;;;;;  "eshell/em-glob.el" "eshell/em-hist.el" "eshell/em-ls.el"
 ;;;;;;  "eshell/em-pred.el" "eshell/em-prompt.el" "eshell/em-rebind.el"
@@ -31328,94 +31619,113 @@ Zone out, completely.
 ;;;;;;  "eshell/esh-cmd.el" "eshell/esh-ext.el" "eshell/esh-groups.el"
 ;;;;;;  "eshell/esh-io.el" "eshell/esh-maint.el" "eshell/esh-module.el"
 ;;;;;;  "eshell/esh-opt.el" "eshell/esh-proc.el" "eshell/esh-util.el"
-;;;;;;  "eshell/esh-var.el" "ezimage.el" "faces.el" "files.el" "finder-inf.el"
-;;;;;;  "foldout.el" "font-core.el" "font-lock.el" "format.el" "forms-d2.el"
-;;;;;;  "forms-pass.el" "frame.el" "fringe.el" "generic-x.el" "gnus/compface.el"
-;;;;;;  "gnus/dig.el" "gnus/dns.el" "gnus/format-spec.el" "gnus/gnus-async.el"
+;;;;;;  "eshell/esh-var.el" "ezimage.el" "facemenu.el" "faces.el"
+;;;;;;  "ffap.el" "files.el" "foldout.el" "follow.el" "font-core.el"
+;;;;;;  "font-lock.el" "format-spec.el" "format.el" "forms-d2.el"
+;;;;;;  "forms-pass.el" "frame.el" "fringe.el" "generic-x.el" "gnus/auth-source.el"
+;;;;;;  "gnus/compface.el" "gnus/deuglify.el" "gnus/gnus-async.el"
 ;;;;;;  "gnus/gnus-bcklg.el" "gnus/gnus-cite.el" "gnus/gnus-cus.el"
 ;;;;;;  "gnus/gnus-demon.el" "gnus/gnus-dup.el" "gnus/gnus-eform.el"
 ;;;;;;  "gnus/gnus-ems.el" "gnus/gnus-int.el" "gnus/gnus-logic.el"
 ;;;;;;  "gnus/gnus-mh.el" "gnus/gnus-salt.el" "gnus/gnus-score.el"
 ;;;;;;  "gnus/gnus-setup.el" "gnus/gnus-srvr.el" "gnus/gnus-sum.el"
 ;;;;;;  "gnus/gnus-topic.el" "gnus/gnus-undo.el" "gnus/gnus-util.el"
-;;;;;;  "gnus/gnus-uu.el" "gnus/gnus-vm.el" "gnus/hex-util.el" "gnus/hmac-def.el"
-;;;;;;  "gnus/hmac-md5.el" "gnus/ietf-drums.el" "gnus/imap.el" "gnus/legacy-gnus-agent.el"
-;;;;;;  "gnus/mail-parse.el" "gnus/mail-prsvr.el" "gnus/mail-source.el"
-;;;;;;  "gnus/mailcap.el" "gnus/md4.el" "gnus/messcompat.el" "gnus/mm-bodies.el"
-;;;;;;  "gnus/mm-decode.el" "gnus/mm-encode.el" "gnus/mm-util.el"
-;;;;;;  "gnus/mm-view.el" "gnus/mml-sec.el" "gnus/mml-smime.el" "gnus/mml.el"
-;;;;;;  "gnus/nnagent.el" "gnus/nnbabyl.el" "gnus/nndb.el" "gnus/nndir.el"
-;;;;;;  "gnus/nndraft.el" "gnus/nneething.el" "gnus/nngateway.el"
+;;;;;;  "gnus/gnus-uu.el" "gnus/gnus-vm.el" "gnus/ietf-drums.el"
+;;;;;;  "gnus/legacy-gnus-agent.el" "gnus/mail-parse.el" "gnus/mail-prsvr.el"
+;;;;;;  "gnus/mail-source.el" "gnus/mailcap.el" "gnus/messcompat.el"
+;;;;;;  "gnus/mm-bodies.el" "gnus/mm-decode.el" "gnus/mm-encode.el"
+;;;;;;  "gnus/mm-util.el" "gnus/mm-view.el" "gnus/mml-sec.el" "gnus/mml-smime.el"
+;;;;;;  "gnus/mml.el" "gnus/nnagent.el" "gnus/nnbabyl.el" "gnus/nndb.el"
+;;;;;;  "gnus/nndir.el" "gnus/nndraft.el" "gnus/nneething.el" "gnus/nngateway.el"
 ;;;;;;  "gnus/nnheader.el" "gnus/nnimap.el" "gnus/nnlistserv.el"
-;;;;;;  "gnus/nnmail.el" "gnus/nnmaildir.el" "gnus/nnmbox.el" "gnus/nnmh.el"
-;;;;;;  "gnus/nnnil.el" "gnus/nnoo.el" "gnus/nnrss.el" "gnus/nnslashdot.el"
-;;;;;;  "gnus/nnspool.el" "gnus/nntp.el" "gnus/nnultimate.el" "gnus/nnvirtual.el"
-;;;;;;  "gnus/nnwarchive.el" "gnus/nnweb.el" "gnus/nnwfm.el" "gnus/ntlm.el"
-;;;;;;  "gnus/password.el" "gnus/pop3.el" "gnus/rfc1843.el" "gnus/rfc2045.el"
-;;;;;;  "gnus/rfc2047.el" "gnus/rfc2104.el" "gnus/rfc2231.el" "gnus/sasl-cram.el"
-;;;;;;  "gnus/sasl-digest.el" "gnus/sasl-ntlm.el" "gnus/sasl.el"
-;;;;;;  "gnus/sieve-manage.el" "gnus/smime-ldap.el" "gnus/smime.el"
-;;;;;;  "gnus/spam-stat.el" "gnus/spam-wash.el" "gnus/starttls.el"
-;;;;;;  "gnus/utf7.el" "gnus/webmail.el" "help.el" "indent.el" "international/characters.el"
-;;;;;;  "international/fontset.el" "international/iso-ascii.el" "international/ja-dic-cnv.el"
-;;;;;;  "international/ja-dic-utl.el" "international/latin-1.el"
-;;;;;;  "international/latin-2.el" "international/latin-3.el" "international/latin-4.el"
-;;;;;;  "international/latin-5.el" "international/latin-8.el" "international/latin-9.el"
-;;;;;;  "international/mule-cmds.el" "international/mule-conf.el"
-;;;;;;  "international/mule.el" "international/ogonek.el" "international/subst-big5.el"
-;;;;;;  "international/subst-gb2312.el" "international/subst-jis.el"
-;;;;;;  "international/subst-ksc.el" "international/ucs-tables.el"
-;;;;;;  "international/utf-16.el" "international/utf-8.el" "isearch.el"
-;;;;;;  "jit-lock.el" "jka-cmpr-hook.el" "kermit.el" "language/chinese.el"
-;;;;;;  "language/cyrillic.el" "language/czech.el" "language/devanagari.el"
-;;;;;;  "language/english.el" "language/ethiopic.el" "language/european.el"
-;;;;;;  "language/georgian.el" "language/greek.el" "language/hebrew.el"
-;;;;;;  "language/indian.el" "language/japanese.el" "language/kannada.el"
-;;;;;;  "language/korean.el" "language/lao.el" "language/malayalam.el"
-;;;;;;  "language/misc-lang.el" "language/romanian.el" "language/slovak.el"
-;;;;;;  "language/tamil.el" "language/thai-word.el" "language/thai.el"
-;;;;;;  "language/tibetan.el" "language/utf-8-lang.el" "language/vietnamese.el"
-;;;;;;  "ldefs-boot.el" "loadup.el" "mail/blessmail.el" "mail/mailheader.el"
-;;;;;;  "mail/mailpost.el" "mail/mspools.el" "mail/rfc2368.el" "mail/rfc822.el"
-;;;;;;  "mail/rmail-spam-filter.el" "mail/uce.el" "mail/vms-pmail.el"
+;;;;;;  "gnus/nnmail.el" "gnus/nnmaildir.el" "gnus/nnmairix.el" "gnus/nnmbox.el"
+;;;;;;  "gnus/nnmh.el" "gnus/nnnil.el" "gnus/nnoo.el" "gnus/nnrss.el"
+;;;;;;  "gnus/nnslashdot.el" "gnus/nnspool.el" "gnus/nntp.el" "gnus/nnultimate.el"
+;;;;;;  "gnus/nnvirtual.el" "gnus/nnwarchive.el" "gnus/nnweb.el"
+;;;;;;  "gnus/nnwfm.el" "gnus/pop3.el" "gnus/rfc1843.el" "gnus/rfc2045.el"
+;;;;;;  "gnus/rfc2047.el" "gnus/rfc2104.el" "gnus/rfc2231.el" "gnus/sieve-manage.el"
+;;;;;;  "gnus/smime.el" "gnus/spam-stat.el" "gnus/spam-wash.el" "gnus/starttls.el"
+;;;;;;  "gnus/utf7.el" "gnus/webmail.el" "help.el" "hex-util.el"
+;;;;;;  "indent.el" "international/characters.el" "international/charprop.el"
+;;;;;;  "international/cp51932.el" "international/eucjp-ms.el" "international/fontset.el"
+;;;;;;  "international/iso-ascii.el" "international/ja-dic-cnv.el"
+;;;;;;  "international/ja-dic-utl.el" "international/mule-cmds.el"
+;;;;;;  "international/mule-conf.el" "international/mule-diag.el"
+;;;;;;  "international/mule-util.el" "international/mule.el" "international/ogonek.el"
+;;;;;;  "international/robin.el" "international/uni-bidi.el" "international/uni-category.el"
+;;;;;;  "international/uni-combining.el" "international/uni-comment.el"
+;;;;;;  "international/uni-decimal.el" "international/uni-decomposition.el"
+;;;;;;  "international/uni-digit.el" "international/uni-lowercase.el"
+;;;;;;  "international/uni-mirrored.el" "international/uni-name.el"
+;;;;;;  "international/uni-numeric.el" "international/uni-old-name.el"
+;;;;;;  "international/uni-titlecase.el" "international/uni-uppercase.el"
+;;;;;;  "isearch.el" "jit-lock.el" "jka-cmpr-hook.el" "json.el" "kermit.el"
+;;;;;;  "language/burmese.el" "language/cham.el" "language/chinese.el"
+;;;;;;  "language/cyrillic.el" "language/czech.el" "language/english.el"
+;;;;;;  "language/ethiopic.el" "language/european.el" "language/georgian.el"
+;;;;;;  "language/greek.el" "language/hebrew.el" "language/indian.el"
+;;;;;;  "language/japanese.el" "language/khmer.el" "language/korean.el"
+;;;;;;  "language/lao.el" "language/misc-lang.el" "language/romanian.el"
+;;;;;;  "language/sinhala.el" "language/slovak.el" "language/tai-viet.el"
+;;;;;;  "language/thai-word.el" "language/thai.el" "language/tibetan.el"
+;;;;;;  "language/tv-util.el" "language/utf-8-lang.el" "language/vietnamese.el"
+;;;;;;  "ldefs-boot.el" "linum.el" "loadup.el" "mail/blessmail.el"
+;;;;;;  "mail/mail-extr.el" "mail/mailheader.el" "mail/mailpost.el"
+;;;;;;  "mail/mspools.el" "mail/rfc2368.el" "mail/rfc822.el" "mail/rmail-spam-filter.el"
+;;;;;;  "mail/smtpmail.el" "mail/uce.el" "mail/vms-pmail.el" "md4.el"
 ;;;;;;  "mh-e/mh-acros.el" "mh-e/mh-alias.el" "mh-e/mh-buffers.el"
-;;;;;;  "mh-e/mh-compat.el" "mh-e/mh-funcs.el" "mh-e/mh-gnus.el"
-;;;;;;  "mh-e/mh-identity.el" "mh-e/mh-inc.el" "mh-e/mh-junk.el"
-;;;;;;  "mh-e/mh-letter.el" "mh-e/mh-limit.el" "mh-e/mh-loaddefs.el"
-;;;;;;  "mh-e/mh-mime.el" "mh-e/mh-print.el" "mh-e/mh-scan.el" "mh-e/mh-search.el"
-;;;;;;  "mh-e/mh-seq.el" "mh-e/mh-show.el" "mh-e/mh-speed.el" "mh-e/mh-thread.el"
-;;;;;;  "mh-e/mh-tool-bar.el" "mh-e/mh-utils.el" "mh-e/mh-xface.el"
-;;;;;;  "misc.el" "mouse-copy.el" "mouse-drag.el" "mouse.el" "net/eudc-vars.el"
-;;;;;;  "net/eudcb-bbdb.el" "net/eudcb-ldap.el" "net/eudcb-mab.el"
-;;;;;;  "net/eudcb-ph.el" "net/ldap.el" "net/netrc.el" "net/socks.el"
+;;;;;;  "mh-e/mh-comp.el" "mh-e/mh-compat.el" "mh-e/mh-funcs.el"
+;;;;;;  "mh-e/mh-gnus.el" "mh-e/mh-identity.el" "mh-e/mh-inc.el"
+;;;;;;  "mh-e/mh-junk.el" "mh-e/mh-letter.el" "mh-e/mh-limit.el"
+;;;;;;  "mh-e/mh-loaddefs.el" "mh-e/mh-mime.el" "mh-e/mh-print.el"
+;;;;;;  "mh-e/mh-scan.el" "mh-e/mh-search.el" "mh-e/mh-seq.el" "mh-e/mh-show.el"
+;;;;;;  "mh-e/mh-speed.el" "mh-e/mh-thread.el" "mh-e/mh-tool-bar.el"
+;;;;;;  "mh-e/mh-utils.el" "mh-e/mh-xface.el" "minibuffer.el" "misc.el"
+;;;;;;  "mouse-copy.el" "mouse-drag.el" "mouse.el" "net/ange-ftp.el"
+;;;;;;  "net/dig.el" "net/dns.el" "net/eudc-vars.el" "net/eudcb-bbdb.el"
+;;;;;;  "net/eudcb-ldap.el" "net/eudcb-mab.el" "net/eudcb-ph.el"
+;;;;;;  "net/hmac-def.el" "net/hmac-md5.el" "net/imap.el" "net/ldap.el"
+;;;;;;  "net/netrc.el" "net/ntlm.el" "net/rcirc.el" "net/sasl-cram.el"
+;;;;;;  "net/sasl-digest.el" "net/sasl-ntlm.el" "net/sasl.el" "net/socks.el"
 ;;;;;;  "net/tls.el" "net/tramp-cache.el" "net/tramp-cmds.el" "net/tramp-compat.el"
 ;;;;;;  "net/tramp-fish.el" "net/tramp-gw.el" "net/tramp-smb.el"
-;;;;;;  "net/tramp-uu.el" "net/trampver.el" "patcomp.el" "paths.el"
+;;;;;;  "net/tramp-uu.el" "net/trampver.el" "net/zeroconf.el" "nxml/nxml-enc.el"
+;;;;;;  "nxml/nxml-maint.el" "nxml/nxml-ns.el" "nxml/nxml-outln.el"
+;;;;;;  "nxml/nxml-parse.el" "nxml/nxml-rap.el" "nxml/nxml-util.el"
+;;;;;;  "nxml/rng-dt.el" "nxml/rng-loc.el" "nxml/rng-maint.el" "nxml/rng-match.el"
+;;;;;;  "nxml/rng-parse.el" "nxml/rng-pttrn.el" "nxml/rng-uri.el"
+;;;;;;  "nxml/rng-util.el" "nxml/xsd-regexp.el" "org/org-mac-message.el"
+;;;;;;  "org/org-mouse.el" "password-cache.el" "patcomp.el" "paths.el"
 ;;;;;;  "pcvs-info.el" "pcvs-parse.el" "pcvs-util.el" "pgg-def.el"
 ;;;;;;  "pgg-parse.el" "pgg-pgp.el" "pgg-pgp5.el" "play/gamegrid.el"
-;;;;;;  "play/gametree.el" "play/meese.el" "progmodes/ada-prj.el"
-;;;;;;  "progmodes/cc-align.el" "progmodes/cc-awk.el" "progmodes/cc-bytecomp.el"
-;;;;;;  "progmodes/cc-cmds.el" "progmodes/cc-defs.el" "progmodes/cc-fonts.el"
-;;;;;;  "progmodes/cc-langs.el" "progmodes/cc-menus.el" "progmodes/ebnf-abn.el"
-;;;;;;  "progmodes/ebnf-bnf.el" "progmodes/ebnf-dtd.el" "progmodes/ebnf-ebx.el"
-;;;;;;  "progmodes/ebnf-iso.el" "progmodes/ebnf-otz.el" "progmodes/ebnf-yac.el"
-;;;;;;  "progmodes/idlw-complete-structtag.el" "progmodes/idlw-help.el"
-;;;;;;  "progmodes/idlw-toolbar.el" "progmodes/mantemp.el" "progmodes/xscheme.el"
-;;;;;;  "ps-mule.el" "register.el" "replace.el" "rfn-eshadow.el"
+;;;;;;  "play/gametree.el" "play/meese.el" "proced.el" "progmodes/ada-mode.el"
+;;;;;;  "progmodes/ada-prj.el" "progmodes/cap-words.el" "progmodes/cc-align.el"
+;;;;;;  "progmodes/cc-awk.el" "progmodes/cc-bytecomp.el" "progmodes/cc-cmds.el"
+;;;;;;  "progmodes/cc-defs.el" "progmodes/cc-fonts.el" "progmodes/cc-langs.el"
+;;;;;;  "progmodes/cc-menus.el" "progmodes/cc-styles.el" "progmodes/cperl-mode.el"
+;;;;;;  "progmodes/ebnf-abn.el" "progmodes/ebnf-bnf.el" "progmodes/ebnf-dtd.el"
+;;;;;;  "progmodes/ebnf-ebx.el" "progmodes/ebnf-iso.el" "progmodes/ebnf-otz.el"
+;;;;;;  "progmodes/ebnf-yac.el" "progmodes/gud.el" "progmodes/idlw-complete-structtag.el"
+;;;;;;  "progmodes/idlw-help.el" "progmodes/idlw-toolbar.el" "progmodes/mantemp.el"
+;;;;;;  "progmodes/modula2.el" "progmodes/python.el" "progmodes/scheme.el"
+;;;;;;  "progmodes/xscheme.el" "ps-def.el" "ps-mule.el" "ps-print.el"
+;;;;;;  "ps-samp.el" "register.el" "replace.el" "rfn-eshadow.el"
 ;;;;;;  "s-region.el" "saveplace.el" "sb-image.el" "scroll-bar.el"
-;;;;;;  "select.el" "soundex.el" "startup.el" "subdirs.el" "tempo.el"
-;;;;;;  "textmodes/bib-mode.el" "textmodes/makeinfo.el" "textmodes/page-ext.el"
-;;;;;;  "textmodes/page.el" "textmodes/refbib.el" "textmodes/refer.el"
-;;;;;;  "textmodes/reftex-auc.el" "textmodes/reftex-dcr.el" "textmodes/reftex-ref.el"
-;;;;;;  "textmodes/reftex-sel.el" "textmodes/reftex-toc.el" "textmodes/texnfo-upd.el"
-;;;;;;  "textmodes/text-mode.el" "timezone.el" "tooltip.el" "tree-widget.el"
-;;;;;;  "uniquify.el" "url/url-about.el" "url/url-cookie.el" "url/url-dired.el"
+;;;;;;  "select.el" "simple.el" "soundex.el" "startup.el" "subdirs.el"
+;;;;;;  "subr.el" "tempo.el" "textmodes/bib-mode.el" "textmodes/fill.el"
+;;;;;;  "textmodes/ispell.el" "textmodes/makeinfo.el" "textmodes/page-ext.el"
+;;;;;;  "textmodes/page.el" "textmodes/paragraphs.el" "textmodes/refbib.el"
+;;;;;;  "textmodes/refer.el" "textmodes/reftex-auc.el" "textmodes/reftex-dcr.el"
+;;;;;;  "textmodes/reftex-ref.el" "textmodes/reftex-sel.el" "textmodes/reftex-toc.el"
+;;;;;;  "textmodes/spell.el" "textmodes/texnfo-upd.el" "textmodes/text-mode.el"
+;;;;;;  "time.el" "timezone.el" "tooltip.el" "tree-widget.el" "uniquify.el"
+;;;;;;  "url/url-about.el" "url/url-cookie.el" "url/url-dired.el"
 ;;;;;;  "url/url-expand.el" "url/url-ftp.el" "url/url-history.el"
 ;;;;;;  "url/url-imap.el" "url/url-methods.el" "url/url-nfs.el" "url/url-proxy.el"
 ;;;;;;  "url/url-vars.el" "url/vc-dav.el" "vc-hooks.el" "vcursor.el"
 ;;;;;;  "version.el" "vms-patch.el" "vmsproc.el" "vt-control.el"
 ;;;;;;  "vt100-led.el" "w32-fns.el" "w32-vars.el" "widget.el" "window.el"
-;;;;;;  "x-dnd.el") (18214 7224 993409))
+;;;;;;  "x-dnd.el") (18428 40537 270127))
 
 ;;;***
 \f