1 2014-12-29 K. Handa <handa@gnu.org>
3 * international/mule.el (make-translation-table-from-alist):
4 Accept nil or zero-length vector for FROM and TO.
6 2014-12-29 Lars Ingebrigtsen <larsi@gnus.org>
8 * net/eww.el (eww-mode): Truncate overlong lines for prettier
11 * net/shr.el (shr-width): Default to using the window width when
14 2014-12-29 Dmitry Gutov <dgutov@yandex.ru>
16 Unbreak jumping to an alias's definition.
18 * emacs-lisp/find-func.el (find-function-library): Return a pair
19 (ORIG-FUNCTION . LIBRARY) instead of just its second element.
20 (find-function-noselect): Use it.
22 * progmodes/elisp-mode.el (elisp--xref-identifier-file): Rename to
23 `elisp--xref-identifier-location', incorporate logic from
24 `elisp--xref-find-definitions', use the changed
25 `find-function-library' return value.
27 2014-12-29 Juri Linkov <juri@linkov.net>
29 * comint.el (comint-history-isearch-message): Use field-beginning
30 instead of comint-line-beginning-position - that's more fixes for
31 http://lists.gnu.org/archive/html/emacs-devel/2014-12/msg00305.html
32 (comint-history-isearch-message): Fix args of isearch-message-prefix.
34 2014-12-29 Juri Linkov <juri@linkov.net>
36 * vc/vc-dir.el (vc-dir-display-file): New command (bug#19450).
37 (vc-dir-mode-map): Bind it to "\C-o".
38 (vc-dir-menu-map): Add it to menu.
40 2014-12-29 Dmitry Gutov <dgutov@yandex.ru>
42 * progmodes/etags.el (find-tag-other-window)
43 (find-tag-other-frame, find-tag-regexp, tags-loop-continue)
44 (tags-apropos): Declare obsolete.
46 * menu-bar.el (menu-bar-goto-menu): Replace all but one etags item
49 2014-12-28 Eli Zaretskii <eliz@gnu.org>
51 * international/mule.el (define-coding-system): Fix typos in the
54 2014-12-28 Kenichi Handa <handa@gnu.org>
56 * international/mule.el (define-coding-system): Improve the doc
59 2014-12-28 Ivan Shmakov <ivan@siamics.net>
61 * net/shr.el (shr-tag-table): Fix handling of tbody/header/footer
62 elements in tables (bug#19444).
64 * net/eww.el (eww-handle-link): Fix typo in "up" rel handling
67 2014-12-28 Juri Linkov <juri@linkov.net>
69 * vc/compare-w.el: Require diff-mode for diff faces.
70 (compare-windows-removed, compare-windows-added): New faces
71 inheriting from diff faces.
72 (compare-windows): Define obsolete face alias.
73 (compare-windows-highlight): Replace face `compare-windows' with
74 new faces `compare-windows-added' and `compare-windows-removed'
76 (compare-windows-get-recent-window): Signal an error when
77 no other window is found (bug#19170).
79 2014-12-27 Dmitry Gutov <dgutov@yandex.ru>
81 * progmodes/elisp-mode.el (elisp--xref-identifier-file):
82 Skip features that have no sources.
84 * simple.el (execute-extended-command):
85 When `suggest-key-bindings' is nil, don't.
87 2014-12-27 Fabián Ezequiel Gallina <fgallina@gnu.org>
89 python.el: Native readline completion.
91 * progmodes/python.el (python-shell-completion-native-disabled-interpreters)
92 (python-shell-completion-native-enable)
93 (python-shell-completion-native-output-timeout): New defcustoms.
94 (python-shell-completion-native-interpreter-disabled-p)
95 (python-shell-completion-native-try)
96 (python-shell-completion-native-setup)
97 (python-shell-completion-native-turn-off)
98 (python-shell-completion-native-turn-on)
99 (python-shell-completion-native-turn-on-maybe)
100 (python-shell-completion-native-turn-on-maybe-with-msg)
101 (python-shell-completion-native-toggle): New functions.
102 (python-shell-completion-native-get-completions): New function.
103 (python-shell-completion-at-point): Use it.
105 2014-12-27 Fabián Ezequiel Gallina <fgallina@gnu.org>
107 python.el: Enhance shell user interaction and deprecate
108 python-shell-get-or-create-process.
110 * progmodes/python.el
111 (python-shell-get-process-or-error): New function.
112 (python-shell-with-shell-buffer): Use it.
113 (python-shell-send-string, python-shell-send-region)
114 (python-shell-send-buffer, python-shell-send-defun)
115 (python-shell-send-file, python-shell-switch-to-shell): Use it.
116 Add argument MSG to display user-friendly message when no process
118 (python-shell-switch-to-shell): Call pop-to-buffer with NORECORD.
119 (python-shell-make-comint): Rename argument SHOW from POP. Use
120 display-buffer instead of pop-to-buffer.
121 (run-python): Doc fix. Return process.
122 (python-shell-get-or-create-process): Make obsolete.
124 2014-12-27 Fabián Ezequiel Gallina <fgallina@gnu.org>
126 * progmodes/python.el (python-shell-buffer-substring): Handle
127 cornercase when region sent starts at point-min.
129 2014-12-27 Eli Zaretskii <eliz@gnu.org>
131 * language/misc-lang.el (composition-function-table): Add Syriac
132 characters and also ZWJ/ZWNJ. See
133 http://lists.gnu.org/archive/html/help-gnu-emacs/2014-12/msg00248.html
136 2014-12-27 Fabián Ezequiel Gallina <fgallina@gnu.org>
138 python.el: Fix message when sending region.
140 * progmodes/python.el (python-shell-send-region): Rename argument
141 send-main from nomain. Fix message.
142 (python-shell-send-buffer): Rename argument send-main from arg.
144 2014-12-27 Fabián Ezequiel Gallina <fgallina@gnu.org>
146 python.el: Cleanup temp files even with eval errors.
148 * progmodes/python.el (python-shell-send-file): Make file-name
149 mandatory. Fix temp file removal in the majority of cases.
151 2014-12-27 Fabián Ezequiel Gallina <fgallina@gnu.org>
153 python.el: Handle file encoding for shell.
155 * progmodes/python.el (python-rx-constituents): Add coding-cookie.
156 (python-shell--save-temp-file): Write file with proper encoding.
157 (python-shell-buffer-substring): Add coding cookie for detected
158 encoding to generated content. Fix blank lines when removing
160 (python-shell-send-file): Handle file encoding.
161 (python-info-encoding-from-cookie)
162 (python-info-encoding): New functions.
164 2014-12-27 Michael Albinus <michael.albinus@gmx.de>
166 * net/tramp-sh.el (tramp-do-copy-or-rename-file-out-of-band):
167 Use `tramp-rsh-end-of-line', it ought to be more robust.
169 2014-12-27 Stefan Monnier <monnier@iro.umontreal.ca>
171 * progmodes/js.el (js-syntax-propertize): "return" can't be divided
174 2014-12-27 Michael Albinus <michael.albinus@gmx.de>
176 * net/tramp.el (tramp-read-passwd): Ignore errors from `auth-source-*'.
178 * net/tramp-sh.el (tramp-do-copy-or-rename-file-out-of-band): Use "\n"
179 as end-of-line delimeter for passwords, when running on MS Windows.
181 2014-12-27 Stefan Monnier <monnier@iro.umontreal.ca>
183 * progmodes/sh-script.el (sh-set-shell): Don't change the global value
184 of indent-line-function (bug#19433).
186 2014-12-27 Fabián Ezequiel Gallina <fgallina@gnu.org>
188 Fix line numbers on Python shell.
189 * progmodes/python.el (python-shell--save-temp-file): Do not
190 append coding cookie.
191 (python-shell-send-string): Generalize for
192 python-shell-send-region.
193 (python--use-fake-loc): Delete var.
194 (python-shell-buffer-substring): Cleanup fake-loc logic.
195 (python-shell-send-region): Remove fake-loc logic, simplify.
197 2014-12-27 Fabián Ezequiel Gallina <fgallina@gnu.org>
199 * progmodes/python.el (python-indent-post-self-insert-function):
200 Make colon to re-indent only for dedenters, handling
201 multiline-statements gracefully.
203 2014-12-27 Michael Albinus <michael.albinus@gmx.de>
205 * net/tramp.el (tramp-handle-insert-file-contents):
206 Set `find-file-not-found-functions' in case of errors. (Bug#18623)
208 2014-12-27 Michael Albinus <michael.albinus@gmx.de>
210 * net/tramp-sh.el (tramp-send-command-and-read): New optional
212 (tramp-get-remote-path): Use it.
214 2014-12-27 Stefan Monnier <monnier@iro.umontreal.ca>
216 * lisp/subr.el (redisplay-dont-pause): Mark as obsolete.
218 2014-12-27 Michael Albinus <michael.albinus@gmx.de>
220 * net/tramp.el (tramp-error-with-buffer): Call `message' properly.
221 (tramp-accept-process-output): Use nil as argument for
222 `accept-process-output', when there is a gateway prepended.
224 * net/tramp-gw.el (tramp-gw-open-connection): Suppress traces in
226 (tramp-gw-open-connection): Set process coding system 'binary.
227 (tramp-gw-open-network-stream): Handle HTTP error 403.
229 * net/tramp-sh.el (tramp-compute-multi-hops): Suppress traces in
231 (tramp-maybe-open-connection): Set connection property "gateway".
233 2014-12-27 Stefan Monnier <monnier@iro.umontreal.ca>
235 * subr.el (sit-for): Tweak docstring (bug#19381).
237 2014-12-27 Dmitry Gutov <dgutov@yandex.ru>
239 * vc/vc-git.el (vc-git-after-dir-status-stage): Move `up-to-date'
240 stage to after `diff-index' (bug#19386).
242 2014-12-27 João Távora <joaotavora@gmail.com>
244 * textmodes/tex-mode.el (tex-insert-quote): Consider and respect
245 `electric-pair-mode' (bug#19356).
247 2014-12-27 Dmitry Gutov <dgutov@yandex.ru>
249 elisp-xref-find: Don't create buffers eagerly.
251 * progmodes/elisp-mode.el (elisp--identifier-location): Fold back
252 into `elisp--company-location'.
253 (elisp--identifier-completion-table): Rename to
254 `elisp--identifier-completion-table', and do not include just any
255 symbols with a property list.
256 (elisp-completion-at-point): Revert the 2014-12-25 change.
257 (elisp--xref-identifier-file): New function.
258 (elisp--xref-find-definitions): Use it.
260 * emacs-lisp/find-func.el (find-function-library): New function,
261 extracted from `find-function-noselect'.
263 * progmodes/xref.el (xref-elisp-location): New class.
264 (xref-make-elisp-location): New function.
265 (xref-location-marker): New implementation.
267 2014-12-27 Juri Linkov <juri@linkov.net>
269 * minibuffer.el (minibuffer-completion-help):
270 Use shrink-window-if-larger-than-buffer in window-height
271 when temp-buffer-resize-mode is nil.
273 * window.el (with-displayed-buffer-window): Remove window-height
274 from the action alist in the temp-buffer-window-show call
275 when window-height is handled explicitly afterwards (bug#19355).
277 2014-12-27 Juri Linkov <juri@linkov.net>
279 Support subdirectories when saving places in dired.
280 * saveplace.el (toggle-save-place, save-place-to-alist)
281 (save-places-to-alist, save-place-dired-hook):
282 Use dired-current-directory instead of dired-directory (bug#19436).
283 (save-place-dired-hook): Add check for alist to make the new
284 format future-proof to allow other possible formats.
286 2014-12-26 Fabián Ezequiel Gallina <fgallina@gnu.org>
288 python.el: Generate clearer shell buffer names.
290 * progmodes/python.el (python-shell-get-process-name)
291 (python-shell-internal-get-process-name): Use `buffer-name`.
292 (python-shell-internal-get-or-create-process): Simplify.
294 2014-12-26 Dmitry Gutov <dgutov@yandex.ru>
296 Add basic xref apropos implementation to elisp-mode.
298 * progmodes/elisp-mode.el (elisp--xref-find-definitions):
299 Filter out nil results.
300 (elisp--xref-find-apropos): New function.
301 (elisp-xref-find): Use it.
303 * progmodes/xref.el (xref--show-xrefs): Use `user-error'.
305 2014-12-25 Filipp Gunbin <fgunbin@fastmail.fm>
307 * dired-aux.el (dired-maybe-insert-subdir): Make
308 dired-maybe-insert-subdir always skip trivial files.
310 2014-12-25 Helmut Eller <eller.helmut@gmail.com>
311 Dmitry Gutov <dgutov@yandex.ru>
313 Consolidate cross-referencing commands.
315 Move autoloaded bindings for `M-.', `M-,', `C-x 4 .' and
316 `C-x 5 .' from etags.el to xref.el.
318 * progmodes/xref.el: New file.
320 * progmodes/elisp-mode.el (elisp--identifier-types): New variable.
321 (elisp--identifier-location): New function, extracted from
322 `elisp--company-location'.
323 (elisp--company-location): Use it.
324 (elisp--identifier-completion-table): New variable.
325 (elisp-completion-at-point): Use it.
326 (emacs-lisp-mode): Set the local values of `xref-find-function'
327 and `xref-identifier-completion-table-function'.
328 (elisp-xref-find, elisp--xref-find-definitions)
329 (elisp--xref-identifier-completion-table): New functions.
331 * progmodes/etags.el (find-tag-marker-ring): Mark obsolete in
332 favor of `xref--marker-ring'.
333 (tags-lazy-completion-table): Autoload.
334 (tags-reset-tags-tables): Use `xref-clear-marker-stack'.
335 (find-tag-noselect): Use `xref-push-marker-stack'.
336 (pop-tag-mark): Make an alias for `xref-pop-marker-stack'.
337 (etags--xref-limit): New constant.
338 (etags-xref-find, etags--xref-find-definitions): New functions.
340 2014-12-25 Martin Rudalics <rudalics@gmx.at>
342 * cus-start.el (resize-mini-windows): Make it customizable.
344 2014-12-24 Stephen Leake <stephen_leake@stephe-leake.org>
346 * startup.el (fancy-about-text): Change buttons for etc/CONTRIBUTE
347 to (info "(emacs)Contributing"). (Bug#19299)
349 2014-12-24 Martin Rudalics <rudalics@gmx.at>
351 * window.el (mouse-autoselect-window-position-1): New variable.
352 (mouse-autoselect-window-cancel)
353 (mouse-autoselect-window-select, handle-select-window): With
354 delayed autoselection select window only if mouse moves after
357 2014-12-24 Michael Albinus <michael.albinus@gmx.de>
359 * eshell/esh-ext.el (eshell-find-interpreter): Expand relative
360 remote file names. (Bug#18782)
362 2014-12-23 Sam Steingold <sds@gnu.org>
364 * shell.el (shell-display-buffer-actions): New user option.
365 (shell): Pass it to `pop-to-buffer' instead of hard-coding
366 `pop-to-buffer-same-window'.
368 2014-12-23 Stefan Monnier <monnier@iro.umontreal.ca>
370 * progmodes/js.el (js--syntax-propertize-regexp-syntax-table): New var.
371 (js-syntax-propertize-regexp): Use it to recognize "slash in
372 a character class" (bug#19397).
374 2014-12-22 Stefan Monnier <monnier@iro.umontreal.ca>
376 * completion.el: Use post-self-insert-hook (bug#19400).
377 (completion-separator-self-insert-command)
378 (completion-separator-self-insert-autofilling): Remove.
379 (completion-separator-chars): New var.
380 (completion-c-mode-hook, completion-setup-fortran-mode): Use it instead
381 of changing the keymap.
382 (completion--post-self-insert): New function.
383 (dynamic-completion-mode): Use it instead of rebinding keys.
384 (cmpl--completion-string): Rename from completion-string.
385 (add-completion-to-head, delete-completion): Let-bind it explicitly.
387 2014-12-22 Bozhidar Batsov <bozhidar@batsov.com>
389 * progmodes/ruby-mode.el (ruby--string-region): Simplify code
390 by leveraging `syntax-ppss'.
392 2014-12-22 Artur Malabarba <bruce.connor.am@gmail.com>
394 * let-alist.el (let-alist): Use `make-symbol' instead of `gensym'.
396 2014-12-20 Michael Albinus <michael.albinus@gmx.de>
398 * net/tramp-sh.el (tramp-histfile-override): Add :version.
400 2014-12-20 Teodor Zlatanov <tzz@lifelogs.com>
402 * net/tramp-sh.el (tramp-histfile-override): Clarify docstring.
404 2014-12-19 Artur Malabarba <bruce.connor.am@gmail.com>
406 * let-alist.el (let-alist): Enable access to deeper alists by
407 using dots inside the dotted symbols.
409 2014-12-19 Alan Mackenzie <acm@muc.de>
411 Make C++11 uniform init syntax work.
412 New keywords "final" and "override"
413 * progmodes/cc-engine.el (c-back-over-member-initializer-braces):
415 (c-guess-basic-syntax): Set `containing-sex' and `lim' using the
417 * progmodes/cc-fonts.el (c-font-lock-declarations): Check more
418 carefully for "are we at a declarator?" using
419 c-back-over-member-initializers.
420 * progmodes/cc-langs.el (c-type-modifier-kwds): Include "final"
421 and "override" in the C++ value.
423 2014-12-19 Martin Rudalics <rudalics@gmx.at>
425 * textmodes/ispell.el (ispell-command-loop): Don't use `next-window'.
427 2014-12-21 Lars Ingebrigtsen <larsi@gnus.org>
429 * net/nsm.el (nsm-save-host): Don't save the host name twice
432 2014-12-18 Sam Steingold <sds@gnu.org>
434 Keyboard interface (C-f10) to `mouse-buffer-menu' (C-down-mouse-1).
435 * mouse.el (mouse-buffer-menu-map): Extract from `mouse-buffer-menu'.
436 (mouse-buffer-menu): Use `mouse-buffer-menu-map'.
437 * menu-bar.el (menu-bar-buffer-vector): Extract from
438 `menu-bar-update-buffers'.
439 (menu-bar-update-buffers): Use `menu-bar-buffer-vector'.
440 (buffer-menu-open): New user command, bound globally to C-f10,
441 provides a keyboard interface to `mouse-buffer-menu' (C-down-mouse-1).
442 (mouse-buffer-menu-keymap): Use `menu-bar-buffer-vector' to
443 convert the value returned by `mouse-buffer-menu-map' to a list
444 acceptable to `popup-menu' for `buffer-menu-open'.
446 2014-12-18 Artur Malabarba <bruce.connor.am@gmail.com>
448 * let-alist.el (let-alist): Evaluate the `alist' argument only
451 2014-12-18 Sam Steingold <sds@gnu.org>
453 * emacs-lisp/package.el: Avoid compilation warning by declaring
454 the `find-library-name' function.
455 (package-activate-1): Fix the `with-demoted-errors' calls:
456 the first argument must be a string literal.
458 2014-12-18 Martin Rudalics <rudalics@gmx.at>
460 Add code for "preserving" window sizes.
461 * dired.el (dired-pop-to-buffer): Call fit-window-to-buffer with
463 (dired-mark-pop-up): Preserve size of window showing marked
465 * electric.el (Electric-pop-up-window):
466 * help.el (resize-temp-buffer-window): Call fit-window-to-buffer
467 with `preserve-size' t.
468 * minibuffer.el (minibuffer-completion-help): Use
469 `resize-temp-buffer-window' instead of `fit-window-to-buffer'
470 (Bug#19355). Preserve size of completions window.
471 * register.el (register-preview): Preserve size of register
473 * tmm.el (tmm-add-prompt): Call fit-window-to-buffer
474 with `preserve-size' t (Bug#1291).
475 * window.el (with-displayed-buffer-window): Add calls to
476 `window-preserve-size'.
477 (window-min-pixel-size, window--preservable-size)
478 (window-preserve-size, window-preserved-size)
479 (window--preserve-size, window--min-size-ignore-p): New
481 (window-min-size, window-min-delta, window--resizable)
482 (window--resize-this-window, split-window-below)
483 (split-window-right): Amend doc-string.
484 (window--min-size-1, window-sizable, window--size-fixed-1)
485 (window-size-fixed-p, window--min-delta-1)
486 (frame-windows-min-size, window--max-delta-1, window-resize)
487 (window--resize-child-windows, window--resize-siblings)
488 (enlarge-window, shrink-window, split-window): Handle preserving
490 (adjust-window-trailing-edge): Handle preserving window
491 sizes. Signal user-error instead of an error when there's no
492 window above or below.
493 (window--state-put-2): Handle horizontal scroll bars.
494 (window--display-buffer): Call `preserve-size' if asked for.
495 (display-buffer): Mention `preserve-size' alist member in
497 (fit-window-to-buffer): New argument PRESERVE-SIZE.
498 * textmodes/ispell.el (ispell-command-loop): Suppress horizontal
499 scroll bar on ispell's windows. Don't count window lines and
500 don't deal with dedicated windows.
501 (ispell-show-choices, ispell-help): Let `ispell-display-buffer'
502 do the window handling.
503 (ispell-adjusted-window-height, ispell-overlay-window): Remove.
504 (ispell-display-buffer): New function to reuse, create and fit
505 window to ispell's buffers. (Bug#3413)
507 2014-12-18 Dmitry Gutov <dgutov@yandex.ru>
509 * emacs-lisp/package.el (package-activate): Do not re-activate or
510 reload the dependencies (bug#19390).
512 2014-12-18 Stefan Monnier <monnier@iro.umontreal.ca>
514 * progmodes/cc-cmds.el (c-subword-mode): Alias to subword-mode.
516 * progmodes/cc-langs.el (c-mode-menu): Use c-subword-mode.
517 * progmodes/cc-mode.el (subword-mode): Move autoload to cc-cmds.el.
518 (c-mode-base-map): Use c-subword-mode.
520 2014-12-18 Eli Zaretskii <eliz@gnu.org>
522 * international/mule-diag.el (describe-font-internal):
523 Display additional info returned by font-info.
525 * linum.el (linum--face-width): Rename from linum--face-height,
526 and use the new functionality of font-info.
527 (linum-update-window): Use linum--face-width and frame-char-width,
528 instead of approximating with height.
530 2014-12-18 Dmitry Gutov <dgutov@yandex.ru>
532 * vc/vc-svn.el (vc-svn-dir-status-files): Revert the 2014-12-02
533 change (bug#19387). Use `apply' on `vc-dir-command' (bug#19405).
535 * emacs-lisp/package.el (package-activate-1): Add RELOAD argument
537 (package-activate): Call itself on dependencies on PACKAGE with
538 the same FORCE argument. Pass FORCE as RELOAD into
539 `package-activate-1' (bug#19390).
541 2014-12-17 Sam Steingold <sds@gnu.org>
543 * emacs-lisp/package.el (package--list-loaded-files):
544 Handle `(nil ...)' elements in `load-history'.
546 2014-12-17 Teodor Zlatanov <tzz@lifelogs.com>
548 * net/tramp-sh.el (tramp-histfile-override): New variable.
549 (tramp-open-shell, tramp-maybe-open-connection): Use it.
551 2014-12-17 Dmitry Gutov <dgutov@yandex.ru>
553 * vc/vc.el: Improve `dir-status-files' description.
555 * emacs-lisp/package.el (package--list-loaded-files): Don't call
556 file-truename on load-history elements (bug#19390).
558 2014-12-16 Nicolas Petton <petton.nicolas@gmail.com>
560 * emacs-lisp/seq.el: New file.
562 2014-12-16 Stefan Monnier <monnier@iro.umontreal.ca>
564 * jit-lock.el (jit-lock-function): Don't defer if jit-lock-defer-time
565 is 0 and there is no input pending.
567 2014-12-15 Juri Linkov <juri@linkov.net>
569 * replace.el (query-replace-read-from): Use query-replace-compile-replacement
570 only on the return value (bug#19383).
572 2014-12-15 Juri Linkov <juri@linkov.net>
574 * isearch.el (isearch-lazy-highlight-search): Extend the bound of
575 the wrapped search by the length of the search string to be able
576 to lazy-highlight the whole search string at point (bug#19353).
578 2014-12-15 Lars Magne Ingebrigtsen <larsi@gnus.org>
580 * net/shr.el (shr-fold-text): Don't bug out on zero-length text.
582 2014-12-14 Alan Mackenzie <acm@muc.de>
584 * lisp/cus-start.el (all): Add fast-but-imprecise-scrolling.
586 2014-12-14 Artur Malabarba <bruce.connor.am@gmail.com>
588 * let-alist.el: Add lexical binding.
590 2014-12-14 Steve Purcell <steve@sanityinc.com> (tiny change)
592 * emacs-lisp/package.el (package-menu-mode): Use an extra column
593 for the "Version" column, to accomodate date-and-time-based
596 2014-12-14 Cameron Desautels <camdez@gmail.com>
598 * cus-edit.el (custom-unsaved-options): New function, extracted
599 from `customize-unsaved'.
600 (custom-unsaved): Use it.
601 (custom-prompt-customize-unsaved-options): New function.
604 2014-12-14 Dmitry Gutov <dgutov@yandex.ru>
606 * fringe.el (fringe-bitmap-p): Fix 2014-12-05 breakage.
608 2014-12-14 Dmitry Gutov <dgutov@yandex.ru>
610 Move ASYNC argument to the `diff' VC command to the fifth
611 position, for better compatibility with existing third-party code,
614 * vc/vc.el (vc-diff-internal): Pass `async' argument to the
615 backend `diff' command in the last position.
617 * vc/vc-svn.el (vc-svn-diff):
618 * vc/vc-src.el (vc-src-diff):
619 * vc/vc-sccs.el (vc-sccs-diff):
620 * vc/vc-rcs.el (vc-rcs-diff):
621 * vc/vc-mtn.el (vc-mtn-diff):
622 * vc/vc-hg.el (vc-hg-diff):
623 * vc/vc-git.el (vc-git-diff):
624 * vc/vc-dav.el (vc-dav-diff):
625 * vc/vc-cvs.el (vc-cvs-diff):
626 * vc/vc-bzr.el (vc-bzr-diff):
627 * obsolete/vc-arch.el (vc-arch-diff): Move ASYNC argument to the end.
629 2014-12-14 Paul Eggert <eggert@cs.ucla.edu>
631 * emacs-lisp/cconv.el (cconv--analyze-use):
632 Rename from cconv--analyse-use.
633 (cconv--analyze-function): Rename from cconv--analyse-function.
634 (cconv-analyze-form): Rename from cconv-analyse-form.
636 2014-12-13 Andreas Schwab <schwab@linux-m68k.org>
638 * net/shr.el (shr-next-link): Don't error out at eob.
640 2014-12-05 Nicolas Richard <theonewiththeevillook@yahoo.fr>
642 * isearch.el (isearch-open-necessary-overlays): Open overlay
643 ending at point (bug#19333).
645 2014-12-13 Lars Magne Ingebrigtsen <larsi@gnus.org>
647 * net/shr.el (shr-fold-text): New function.
648 (shr-show-alt-text, shr-urlify, shr-tag-img): Use it to fold long
650 (shr-fold-text): Inhibit state from being altered.
652 * files.el (directory-files-recursively): Really check whether
654 (directory-name-p): New function.
655 (directory-files-recursively): Use it.
657 2014-12-13 Artur Malabarba <bruce.connor.am@gmail.com>
659 * emacs-lisp/package.el (package--list-loaded-files): New function
660 to list files in a given directory which correspond to already
662 (package-activate-1): Reload files given by `package--list-loaded-files'.
663 Fix bug#10125, bug#18443, and bug#18448.
665 2014-12-13 Eric S. Raymond <esr@snark.thyrsus.com>
667 * vc/vc-svn.el (vc-svn-diff): Fix bug #19312.
669 2014-12-13 Michael Albinus <michael.albinus@gmx.de>
671 * simple.el (password-word-equivalents): Add "passcode", used for
672 numeric secrets like PINs or RSA tokens.
674 2014-12-13 Michael Albinus <michael.albinus@gmx.de>
676 * net/tramp-sh.el (tramp-get-remote-path): Use a login shell in
677 order to determine `tramp-own-remote-path'.
679 2014-12-13 Fabián Ezequiel Gallina <fgallina@gnu.org>
681 * progmodes/python.el (python-shell-parse-command):
682 Quote `python-shell-interpreter`. (Bug#19289)
684 2014-12-12 Stefan Monnier <monnier@iro.umontreal.ca>
686 * progmodes/python.el (python-indent-line): Use `noindent' in strings.
687 (python-indent-levels): Document extra value.
688 (python-indent-calculate-indentation): Return `noindent' in strings.
689 (python-indent-post-self-insert-function)
690 (python-indent-calculate-levels): Handle new value.
692 2014-12-12 Lars Magne Ingebrigtsen <larsi@gnus.org>
694 * net/network-stream.el (network-stream-open-starttls): No need to
695 check for the availability of `gnutls-available-p'.
697 * files.el (directory-files-recursively): Don't follow symlinks to
700 2014-12-12 Eric S. Raymond <esr@snark.thyrsus.com>
702 * vc/vc-dav.el, vc/vc-git.el, vc/vc-hg.el, vc/vc-src.el:
703 * vc/vc.el: latest-on-branch-p is no longer a public method.
705 * vc/vc.el, vc/vc-hg.el, vc/vc-git.el, vc/vc-hooks.el:
706 * vc/vc-mtn.el, vc/vc-rcs.el, vc/vc-sccs.el, vc/vc-src.el:
707 Remove `rollback' method, to be replaced in the future by uncommit.
709 2014-12-11 Michael Albinus <michael.albinus@gmx.de>
711 * vc/vc-hg.el (vc-hg-state): Make FILE absolute. Handle the case
712 that there is empty output.
714 2014-12-11 Stefan Monnier <monnier@iro.umontreal.ca>
716 * emacs-lisp/eldoc.el (eldoc-documentation-function): Change default.
717 (eldoc-mode, eldoc-schedule-timer): Adjust to new default.
719 2014-12-10 Artur Malabarba <bruce.connor.am@gmail.com>
721 * let-alist.el: Add new package and macro.
723 2014-12-10 Eric S. Raymond <esr@snark.thyrsus.com>
725 * vc/vc-dispatcher.el, vc/vc-hooks.el, vc/vc-rcs.el:
726 * vc/vc-sccs.el, vc/vc.el: Righteous featurectomy of vc-keep-workfiles,
727 it's a shoot-self-in-foot archaism. Workfiles are always kept.
729 2014-12-10 Rasmus Pank Roulund <emacs@pank.eu>
731 * net/ange-ftp.el (ange-ftp-switches-ok): Disallow flags causing
732 trouble with ls over ftp. These flags result in ls returning no
733 output, causing Tramp-breakage. (bug#19192)
735 2014-12-10 Andreas Schwab <schwab@suse.de>
737 * files.el (file-tree-walk): Use file-name-as-directory unconditionally.
739 2014-12-10 Lars Magne Ingebrigtsen <larsi@gnus.org>
741 * files.el (directory-files-recursively):
742 Use `file-name-all-completions' instead of `directory-files' for
745 * net/shr.el (shr-tag-object): Don't bug out on text elements in
748 2014-12-09 Bozhidar Batsov <bozhidar@batsov.com>
750 * progmodes/ruby-mode.el (auto-mode-alist): Add .rabl, Berksfile
752 (ruby-toggle-string-quotes): New command that allows you to quickly
753 toggle between single-quoted and double-quoted string literals.
755 2014-12-09 Eric S. Raymond <esr@snark.thyrsus.com>
757 * vc/vc-src.el (vc-src-do-comand): Prepend -- to file argument
758 list, avoids problems witt names containing hyphens.
760 2014-12-09 Wilson Snyder <wsnyder@wsnyder.org>
762 Sync with upstream verilog-mode revision aa4b777.
763 * progmodes/verilog-mode.el (verilog-mode-version): Update.
764 (verilog-auto-end-comment-lines-re, verilog-end-block-ordered-re)
765 (verilog-set-auto-endcomments): Automatically comment property/
766 endproperty blocks to match other similar blocks like sequence/
767 endsequence, function/endfunction, etc. Reported by Alex Reed.
768 (verilog-set-auto-endcomments): Fix end comments for functions of
769 type void, etc. Detect the function- or task-name when
770 auto-commenting blocks that lack an explicit portlist.
771 Reported by Alex Reed.
772 (verilog-nameable-item-re): Fix nameable items that can have an
773 end-identifier to include endchecker, endgroup, endprogram,
774 endproperty, and endsequence. Reported by Alex Reed.
775 (verilog-preprocessor-re, verilog-beg-of-statement):
776 Fix indentation of property/endproperty around pre-processor
777 directives. Reported by Alex Reed.
778 (verilog-label-be): When auto-commenting a buffer, consider
779 auto-comments on all known keywords (not just a subset thereof).
780 Reported by Alex Reed.
781 (verilog-beg-of-statement): Fix labeling do-while blocks, bug842.
782 Reported by Alex Reed.
783 (verilog-beg-of-statement-1, verilog-at-constraint-p):
784 Fix hanging with many curly-bracket pairs, bug663.
785 (verilog-do-indent): Fix electric tab deleting form-feeds.
786 Note caused by indent-line-to deleting tabls pre 24.5.
787 (verilog-auto-output, verilog-auto-input, verilog-auto-inout)
788 (verilog-auto-inout-module, verilog-auto-inout-in): Doc fixes.
789 (verilog-read-always-signals, verilog-auto-sense-sigs)
790 (verilog-auto-reset): Fix AUTORESET with always_comb and always_latch,
791 bug844. Reported by Greg Hilton.
793 2014-12-09 Alex Reed <acreed4@gmail.com> (tiny change)
795 * progmodes/verilog-mode.el (verilog-no-indent-begin-re):
796 Fix `verilog-indent-begin-after-if' nil not honoring 'forever',
797 'foreach', and 'do' keywords.
798 (verilog-endcomment-reason-re, verilog-beg-of-statement):
799 Fix labeling do-while blocks, bug842.
800 (verilog-backward-token): Fix indenting sensitivity lists with
801 named events, bug840.
803 2014-12-09 Reto Zimmermann <reto@gnu.org>
805 Sync with upstream vhdl mode v3.36.1.
806 * progmodes/vhdl-mode.el (vhdl-version, vhdl-time-stamp): Update.
807 (vhdl-compiler-alist): Anchor all error regexps.
808 (vhdl-compile-use-local-error-regexp): Change default to nil.
809 (vhdl-asort, vhdl-anot-head-p): Remove.
810 (vhdl-aput, vhdl-adelete, vhdl-aget): Simplify.
811 Remove optional argument of vhdl-aget and update all callers.
812 (vhdl-import-project): Also set `vhdl-compiler'.
814 2014-12-09 Lars Magne Ingebrigtsen <larsi@gnus.org>
816 * files.el (find-files): New function.
818 * net/shr.el (shr-dom-print): Don't print comments.
819 (shr-tag-svg): Give inline SVG images the right type.
821 * net/eww.el (eww-update-header-line-format): Mark valid/invalid
822 certificates in the header line.
823 (eww-invalid-certificate, eww-valid-certificate): New faces.
825 2014-12-09 Fabián Ezequiel Gallina <fgallina@gnu.org>
827 * progmodes/python.el (inferior-python-mode):
828 Set `comint-prompt-read-only` to `t` only locally.
830 2014-12-08 Lars Magne Ingebrigtsen <larsi@gnus.org>
832 * net/nsm.el (nsm-check-protocol): Test for RC4 on `high'.
833 (nsm-format-certificate): Include more data about the connection.
834 (nsm-query): Fill the text to that it looks nicer.
835 (nsm-check-protocol): Also warn if using SSL3 or older.
837 2014-12-08 Stefan Monnier <monnier@iro.umontreal.ca>
839 * progmodes/gud.el (gud-gdb-completions): Remove unused var `start'.
841 * obsolete/gulp.el (gulp-create-m-p-alist): Remove unused var `mnt-tm'.
843 * net/tramp.el (tramp-handle-make-symbolic-link): Mark unused arg.
845 * info.el (Info-mode-map): Remove left-over binding.
847 * emacs-lisp/avl-tree.el: Use lexical-binding and cl-lib.
848 (avl-tree--root): Remove redundant defsetf.
850 2014-12-08 Lars Magne Ingebrigtsen <larsi@gnus.org>
852 * net/nsm.el (network-security-level): Remove the detailed
853 description, which was already outdated, and refer the users to
855 (nsm-check-protocol): Check for weak Diffie-Hellman prime bits
858 2014-12-06 Andrey Kotlarski <m00naticus@gmail.com>
860 * net/eww.el (eww-buffers-mode): New major mode.
861 (eww-list-buffers, eww-buffer-select, eww-buffer-show-next)
862 (eww-buffer-show-previous, eww-buffer-kill, eww-buffer-show):
863 New commands/functions (bug#19131).
865 2014-12-08 Lars Magne Ingebrigtsen <larsi@gnus.org>
867 * net/gnutls.el (gnutls-negotiate): Ignore files found via
868 'file-name-handler-alist' since the gnutls library can't use those
871 2014-12-08 Dmitry Gutov <dgutov@yandex.ru>
873 * vc/vc-hg.el (vc-hg-dir-status-files): Only include ignores files
874 when FILES is non-nil (bug#19304).
876 2014-12-08 Eric S. Raymond <esr@snark.thyrsus.com>
878 * vc/vc-arch.el: Move to obsolete directory so a test framework
879 won't trip over bit-rot in it. There has been no Arch snapshot
882 2014-12-07 Lars Magne Ingebrigtsen <larsi@gnus.org>
884 * net/eww.el (eww-follow-link): Revert prefix behaviour to
886 (eww-copy-page-url): Add doc string.
888 2014-12-07 Ivan Shmakov <ivan@siamics.net>
890 * net/eww.el (eww): Move history recording here...
891 (eww-browse-url): ... from here (bug#19253).
893 * net/eww.el (eww-browse-url): Use generate-new-buffer (was:
894 iterating over possible buffer names.)
896 2014-12-07 Lars Magne Ingebrigtsen <larsi@gnus.org>
898 * net/eww.el (eww-reload): Take a prefix to work locally (bug#19086).
899 (eww-current-buffer): Compilation fix for bug#18550 patch.
901 2014-12-07 Ivan Shmakov <ivan@siamics.net>
903 * net/eww.el (eww-list-histories): Restore the history in the
904 correct buffer (bug#18550).
906 2014-12-07 Lars Magne Ingebrigtsen <larsi@gnus.org>
908 * net/eww.el (eww-bookmark-prepare): Display URLs in first by
909 displaying shortened titles first (bug#16398).
911 2014-12-07 Tom Willemse <tom@ryuslash.org> (tiny change)
913 * progmodes/python.el: Recognize docstrings.
914 (python-docstring-at-p, python-font-lock-syntactic-face-function):
916 (python-mode): Use them.
918 2014-12-06 Ulf Jasper <ulf.jasper@web.de>
920 * net/newst-treeview.el (newsticker--treeview-list-add-item)
921 (newsticker--treeview-propertize-tag): Bind tree menu to mouse-3.
922 (newsticker--treeview-create-groups-menu)
923 (newsticker--treeview-create-tree-menu): Remove.
924 (newsticker--treeview-tree-open-menu): New.
925 (newsticker-treeview-tree-click): Pass event to
926 `newsticker-treeview-tree-do-click'.
927 (newsticker-treeview-tree-do-click): Open treemenu on mouse-3.
929 2014-12-05 Juri Linkov <juri@linkov.net>
931 * comint.el (comint-history-isearch-search)
932 (comint-history-isearch-wrap): Use field-beginning instead of
933 comint-line-beginning-position.
934 (comint-send-input): Go to the end of the field instead of the end
935 of the line to accept whole multi-line input.
936 http://lists.gnu.org/archive/html/emacs-devel/2014-12/msg00305.html
938 2014-12-05 Juri Linkov <juri@linkov.net>
940 * minibuffer.el (minibuffer-completion-help):
941 Compare selected-window with minibuffer-window to check whether
942 completions should be displayed near the minibuffer. (Bug#17809)
943 http://lists.gnu.org/archive/html/emacs-devel/2014-12/msg00311.html
945 2014-12-05 Michael Albinus <michael.albinus@gmx.de>
947 * vc/vc-mtn.el (vc-mtn-root):
948 * vc/vc-svn.el (vc-svn-registered): Make FILE absolute.
950 2014-12-05 Stefan Monnier <monnier@iro.umontreal.ca>
952 * progmodes/sh-script.el (sh-smie-sh-rules): Go back to the beginning
953 of the whole pipe when indenting an opening keyword after a |.
954 Generalize this treatment to opening keywords like "while" (bug#18031).
956 2014-12-05 Stefan Monnier <monnier@iro.umontreal.ca>
958 * simple.el (newline): Place the hook buffer-locally,
959 to make sure it's first.
961 * progmodes/prog-mode.el (prettify-symbols--compose-symbol):
962 Fix handling of symbols with different syntax at beginning/end or with
963 symbol rather than word syntax.
965 2014-12-05 Eli Zaretskii <eliz@gnu.org>
967 * simple.el (line-move): If noninteractive, call line-move-1, not
968 forward-line, since the former is compatible with line-move-visual
969 both in terms of the column to which it moves and the return
972 2014-12-05 Stefan Monnier <monnier@iro.umontreal.ca>
974 * vc/ediff-init.el (ediff-odd-p): Remove.
975 (ediff-background-face): Use cl-oddp instead.
976 (ediff-buffer-live-p): Make it a defsubst.
978 * tooltip.el (tooltip-region-active-p): Remove.
980 * net/shr.el (shr-char-breakable-p, shr-char-kinsoku-bol-p)
981 (shr-char-kinsoku-eol-p, shr-char-nospace-p): Use define-inline.
983 * fringe.el (fringe-bitmap-p): Make it a plain function.
985 * emacs-lisp/eieio-core.el: Prefer inlinable functions over macros.
986 (class-p, generic-p, eieio-object-p, class-abstract-p):
987 Make them defsubst, so as to avoid corner case problems where
988 the arg might be evaluated in the condition-case, or it can't be passed
989 to higher-order functions like `cl-some'.
991 2014-12-05 Nicolas Richard <theonewiththeevillook@yahoo.fr>
993 * wid-edit.el (widget-choose): Let numeric keypad work (bug#19268)
994 and remove old menu-related code.
996 2014-12-05 Lars Magne Ingebrigtsen <larsi@gnus.org>
998 * net/eww.el (eww-display-pdf): Let mailcap determine how to
999 display PDF files (bug#19270).
1001 2014-12-05 Juri Linkov <juri@linkov.net>
1003 Compare with the most recent window by default.
1004 * vc/compare-w.el (compare-windows-get-window-function): New defcustom.
1005 (compare-windows-get-recent-window)
1006 (compare-windows-get-next-window): New functions.
1007 (compare-windows, compare-windows-sync-default-function):
1008 Use `compare-windows-get-window-function' instead of `next-window'.
1009 (compare-windows): Add diff/match messages with region boundaries.
1012 2014-12-04 Stefan Monnier <monnier@iro.umontreal.ca>
1014 * subr.el (filter): Remove. Use `cl-remove-if-not' or `seq-filter'.
1016 2014-12-04 Lars Magne Ingebrigtsen <larsi@gnus.org>
1018 * net/shr.el (shr--extract-best-source): Ignore non-text children.
1020 2014-12-04 Eli Zaretskii <eliz@gnu.org>
1022 Implement copying of a buffer portion while preserving visual order.
1023 * simple.el (bidi-directional-controls-chars)
1024 (bidi-directional-non-controls-chars): New variables.
1025 (squeeze-bidi-context-1, squeeze-bidi-context)
1026 (line-substring-with-bidi-context)
1027 (buffer-substring-with-bidi-context): New functions.
1029 * files.el (file-tree-walk): Doc fix.
1031 2014-12-04 Rupert Swarbrick <ruperts@broadcom.com> (tiny change)
1032 Rüdiger Sonderfeld <ruediger@c-plusplus.net>
1034 * autoinsert.el (auto-insert-alist): Update C/C++ header and
1035 program support to match more extensions. Replace non-alnum
1036 characters when generating include guards (headers) and check for
1037 more extensions when generating includes (programs)
1040 2014-12-03 Eric S. Raymond <esr@snark.thyrsus.com>
1042 * files.el (file-tree-walk): Fix docstring.
1044 2014-12-03 Karl Fogel <kfogel@red-bean.com>
1046 Fix bug whereby saving files hung in VC hook.
1048 Saving a buffer visiting a file under SVN control would hang if
1049 the remote repository were unreachable, because the VC hooks tried
1050 to run "svn status -u" on the file, where the "-u" tells svn to
1051 get update information from the remote repository.
1052 http://lists.gnu.org/archive/html/emacs-devel/2014-12/msg00174.html
1054 * vc/vc-svn.el (vc-svn-state): Remove optional `localp'
1055 argument and always pass "-v" to "svn status", never "-u".
1057 2014-12-03 Stefan Monnier <monnier@iro.umontreal.ca>
1059 * emacs-lisp/inline.el: Fix up copyright header.
1060 (inline-quote, inline-const-p, inline-const-val, inline-error):
1061 Silence compiler warnings.
1062 (inline-letevals): Fix edebug spec.
1063 (inline--testconst-p): Consider lambda expressions as const-p.
1064 (inline--getconst-val): Use inline--testconst-p.
1066 * minibuffer.el (completion-table-dynamic): Add arg `switch-buffer'
1067 and change default to stay in the minibuffer when called from
1068 the minibuffer (bug#19250).
1069 (lazy-completion-table): Use this new argument to preserve the
1072 * progmodes/elisp-mode.el (elisp--local-variables): Don't burp on
1073 incorrect lexical elements (bug#19250).
1075 2014-12-03 A. N. Other <none@example.com>
1077 * files.el (file-tree-walk): Lisp translation of ANSI ftw(3).
1079 2014-12-02 Glenn Morris <rgm@gnu.org>
1081 * whitespace.el (whitespace-big-indent-regexp): Add :version.
1083 2014-12-02 Eric S. Raymond <esr@snark.thyrsus.com>
1085 * subr.el (filter): New macro. Because it's just silly for a Lisp
1086 not to have this in 2014. And VC needs it.
1088 * vc.el: All backends: API simplification: Abolish dir-status.
1089 It's replaced by dir-status-files.
1091 * vc.el: All backends: API simplification: Remove 4th
1092 'default-state' argument from vc-dir-status files and its backend
1093 methods - no backend method ever set it. It was used only in the
1094 fallback method to to set a default of 'up-to-date, though a
1095 convoluted call chain obscured this.
1097 * vc-hooks.el: Bind vc-delete-file to Ctrl-x v delete.
1099 * vc.el (vc-expand-dirs): Now takes a second BACKEND argument,
1100 improving behavior on directories using multiple file-oriented VCSes.
1102 * vc/vc.el: All backends: API simplification; clear-headers
1103 is no longer a public method. It is now local to the one place
1104 it's used, in the RCS steal-lock method.
1106 2014-12-01 Eric S. Raymond <esr@snark.thyrsus.com>
1108 * vc/vc.el: In all backends: API simplification; could-register
1109 is no longer a public method. (vc-cvs.el still has a private
1112 * vc/vc.el: In all backends: API cleanup; the backend diff method
1113 takes an explicit async flag. This eliminates a particularly ugly
1116 * vc-bzr.el: Restore vc-bzr-state-heuristic as a private method.
1117 VC randomly/unpredictably fails without it; cause not yet established.
1119 2014-12-01 Stefan Monnier <monnier@iro.umontreal.ca>
1121 Merge some of the differences from the standalone CC-mode.
1122 The main change is to only use the `category' text-property only when
1123 available. For that many calls are changed to use c-get-char-property,
1124 c-next-single-property-change, c-sc-scan-lists,
1125 c-sc-parse-partial-sexp, c-unmark-<->-as-paren.
1127 * progmodes/cc-mode.el (c-just-done-before-change): New var.
1128 (c-basic-common-init): Initialize it.
1129 (c-common-init): Only use mode-require-final-newline when available.
1130 (c-before-change): Check and set c-just-done-before-change.
1131 (c-after-change): Re-set c-just-done-before-change.
1132 (c-advise-fl-for-region): New macro.
1133 (lazy-lock-defer-rest-after-change, lazy-lock-defer-line-after-change)
1134 (font-lock-after-change-function, jit-lock-after-change):
1137 * progmodes/cc-langs.el (c-modified-constant): New lang var.
1138 (c-known-type-key): Don't make a list just to throw it away.
1140 * progmodes/cc-engine.el (c-invalidate-state-cache, c-parse-state):
1141 Handle the case where categories are not available.
1142 (c-record-parse-state-state, c-replay-parse-state-state):
1143 Handle marker values.
1144 (c-before-change-check-<>-operators): Look for the `syntax-table'
1145 property rather than for the corresponding `category'.
1146 (c-looking-at-decl-block): Remove unused var
1147 `c-disallow-comma-in-<>-arglists'.
1148 (c-forward-<>-arglist-recur): Remove unused var
1149 `orig-record-found-types'.
1151 * progmodes/cc-defs.el (c-version): Bump up to 5.33.
1152 (c-use-category): New const.
1153 (c-next-single-property-change): New macro.
1154 (c-region-is-active-p): Prefer region-active-p when available.
1155 (c-search-backward-char-property): Fix old min/max typo; probably
1157 (c-mark-<-as-paren, c-mark->-as-paren, c-unmark-<->-as-paren):
1158 Turn them into macros that obey c-use-category.
1159 (c-sc-scan-lists-no-category+1+1, c-sc-scan-lists-no-category+1-1)
1160 (c-sc-scan-lists-no-category-1+1, c-sc-scan-lists-no-category-1-1)
1161 (c-sc-scan-lists, c-sc-parse-partial-sexp)
1162 (c-looking-at-non-alphnumspace): New macros.
1163 (c-sc-parse-partial-sexp-no-category): New function.
1164 (c-emacs-features): Add `category-properties' element.
1166 * progmodes/cc-cmds.el (c-forward-into-nomenclature)
1167 (c-backward-into-nomenclature): Use cc-subword if subword-mode is
1169 (c-beginning-of-defun, c-end-of-defun, c-mark-function)
1170 (c-indent-line-or-region): Use c-region-is-active-p.
1172 * progmodes/cc-bytecomp.el (cc-bytecomp-unbound-variables)
1173 (cc-bytecomp-original-functions, cc-bytecomp-original-properties)
1174 (cc-bytecomp-loaded-files): Re-set each time the file is loaded.
1175 (cc-bytecomp-obsolete-var, cc-bytecomp-ignore-obsolete)
1176 (cc-bytecomp-obsolete-fun): Delete unused functions.
1178 * progmodes/cc-align.el (c-lineup-respect-col-0): New function.
1180 2014-12-01 Lars Magne Ingebrigtsen <larsi@gnus.org>
1182 * net/shr.el (shr-dom-print): Fix up `shr-dom-print' after the
1185 2014-12-01 Stefan Monnier <monnier@iro.umontreal.ca>
1187 * vc/vc.el (vc-find-conflicted-file): Look for conflicted files in the
1188 current "project" rather than just the current directory.
1189 * vc/vc-git.el (vc-git-conflicted-files): Clarify in which directory
1190 the file names make sense.
1192 * vc/smerge-mode.el (smerge-swap): New command.
1194 * vc/diff-mode.el (diff-kill-applied-hunks): New command.
1196 2014-12-01 Ulf Jasper <ulf.jasper@web.de>
1198 * net/newst-treeview.el (newsticker--treeview-item-show):
1199 Check window liveliness before measuring its width.
1201 * net/newst-backend.el (newsticker--get-news-by-url-callback):
1202 Pass correct status to `newsticker--sentinel-work'.
1203 (newsticker--sentinel-work): Use "newsticker--download-error" as
1204 guid in order to prevent multiple "Could not download..."
1205 messages. Fixes bug#19166.
1207 2014-12-01 Ivan Shmakov <ivan@siamics.net>
1209 * net/eww.el (eww-render): Call `eww-after-render-hook' in the
1210 correct buffer (bug#19225).
1212 2014-12-01 Lars Magne Ingebrigtsen <larsi@gnus.org>
1214 * net/nsm.el (network-security-level): Change the default to `medium'.
1216 * net/eww.el (eww): Leave point in a place that doesn't cause
1217 scrolling when displaying "Loading...".
1219 2014-12-01 Eric S. Raymond <esr@snark.thyrsus.com>
1221 * vc/vc.el, vc/vc-cvs.el, vc/vc-rcs.el, vc/vc-svn.el: The 'merge'
1222 backend method of RCS/CVS/SVN is now 'merge-file', to contrast with
1223 'merge-branch'. Prompting for merge revisions is pushed down to
1224 the back ends; this fixes a layering violation that caused bad
1227 * vc/vc.el, vc-hooks.el: All backends: API simplification;
1228 vc-stay-local-p and repository-hostname are no longer public
1229 methods. Only the CVS and SVN backends used these, and the SVN
1230 support was conditioned out because svn status -v is too slow.
1231 The CVS back end retains this machinery and the vc-stay-local
1232 configuration variable now only affects it.
1234 2014-12-01 Stefan Monnier <monnier@iro.umontreal.ca>
1236 * emacs-lisp/inline.el: New file.
1238 2014-12-01 Eric S. Raymond <esr@snark.thyrsus.com>
1240 * vc/vc.el, vc-hooks.el: All backends: API simplification;
1241 vc-state-heuristic is no longer a public method, having been
1242 removed where it is redundant, unnecessary, or known buggy.
1243 This eliminated all backends except CVS. Eliminates bug#7850.
1245 * vc/vc-cvs.el, vc/vc-hooks.el, vc/vc-rcs.el, vc/vc-sccs.el:
1246 Eliminate vc-mistrust-permissions. It was only relevant to the
1247 RCS and SCCS back ends and defaulted to t. Code now always
1248 mistrusts permissions - by actual measurement the effect on
1249 performance is negligible. As a side effect bug#11490 is now
1252 * vc/vc.el, vc-hooks.el: All backends: API simplification;
1253 vc-workfile-unchanged-p is no longer a public method (but the RCS
1254 and SCCS back ends retain it as a private method used in state
1255 computation). This method was redundant with vc-state and usually
1256 implemented as a trivial call to same. Fixes the failure mode
1257 described in bug#694.
1259 * vc/vc.el: All backends: API simplification; init-revision is
1260 gone, and vc-registered functions no longer take an
1261 initial-revision argument.
1263 2014-11-29 Glenn Morris <rgm@gnu.org>
1265 * vc/vc-src.el (vc-src, vc-src-diff-switches)
1266 (vc-src-master-templates): Fix :version tags.
1268 2014-11-29 Paul Rankin <paul@tilk.co> (tiny change)
1270 * outline.el (outline-move-subtree-down): Refactor and improve code.
1272 2014-11-29 Stephen Berman <stephen.berman@gmx.net>
1273 Stefan Monnier <monnier@iro.umontreal.ca>
1275 * outline.el (outline-move-subtree-down): Make sure we can move
1276 forward to find the end of the subtree and the insertion point
1279 2014-11-29 Fabián Ezequiel Gallina <fgallina@gnu.org>
1281 * progmodes/python.el (python-shell-completion-setup-code):
1282 Use __builtin__ module (or builtins in Python 3) and catch all errors
1283 when importing readline and rlcompleter.
1285 2014-11-29 Stephen Berman <stephen.berman@gmx.net>
1287 * calendar/todo-mode.el: Handle calling revert-buffer (bug#19187).
1288 (todo-revert-buffer): New function.
1289 (todo-modes-set-1): Use it as the buffer-local value of
1290 revert-buffer-function.
1292 2014-11-29 Stephen Berman <stephen.berman@gmx.net>
1294 * calendar/todo-mode.el (todo-mode): If called interactively, just
1295 display a message saying to call todo-show to enter Todo mode
1298 2014-11-29 Dmitry Gutov <dgutov@yandex.ru>
1300 * vc/vc-hg.el (vc-hg-dir-status-files): Include ignored files.
1303 * vc/vc-bzr.el (vc-bzr-after-dir-status): Don't skip ignored
1306 2014-11-29 Michael Albinus <michael.albinus@gmx.de>
1308 * textmodes/makeinfo.el (makeinfo-buffer): Make it work also for
1309 remote `buffer-file-name'.
1311 2014-11-29 Leo Liu <sdl.web@gmail.com>
1313 * calendar/diary-lib.el (calendar-mark-1): Fix thinko.
1315 2014-11-29 Fabián Ezequiel Gallina <fgallina@gnu.org>
1317 Set PYTHONUNBUFFERED on shell startup.
1319 * progmodes/python.el (python-shell-unbuffered): New var.
1320 (python-shell-calculate-process-environment): Use it.
1322 2014-11-29 Michael Albinus <michael.albinus@gmx.de>
1324 * net/tramp.el (tramp-action-password): Clean password on subsequent
1325 attempts even if there was no wrong password indication. (Bug#19047)
1327 * net/tramp-sh.el (tramp-get-remote-locale): Return "LC_ALL=C" as
1329 (tramp-open-connection-setup-interactive-shell): No need to check
1330 for nil as `tramp-get-remote-locale' return value.
1332 2014-11-29 Eli Zaretskii <eliz@gnu.org>
1334 * vc/vc-git.el (vc-git-command, vc-git--call):
1335 Bind coding-system-for-read and coding-system-for-write to
1336 vc-git-commits-coding-system.
1337 (vc-git-previous-revision): Use "~1" instead of "^", since the
1338 latter is a special character for MS-Windows system shells.
1340 2014-11-29 Michael Albinus <michael.albinus@gmx.de>
1342 Improve XEmacs compatibility.
1344 * net/tramp.el (tramp-autoload-file-name-handler):
1345 Wrap `temporary-file-directory' by `symbol-value', it doesn't
1347 (tramp-read-passwd): Don't use `with-timeout-suspend' and
1348 `with-timeout-unsuspend' if they don't exist, like in XEmacs.
1349 (tramp-time-less-p, tramp-time-subtract): Remove functions.
1350 (tramp-handle-file-newer-than-file-p, tramp-time-diff):
1351 * net/tramp-adb.el (tramp-adb-ls-output-time-less-p):
1352 * net/tramp-cache.el (tramp-get-file-property):
1353 * net/tramp-smb.el (tramp-smb-handle-insert-directory):
1354 Use `time-less-p' and `time-subtract, respectively.
1356 * net/tramp-adb.el (top): Do not require time-date.el.
1358 * net/tramp-compat.el (top): Require time-date.el for XEmacs.
1360 * net/tramp-sh.el (tramp-open-connection-setup-interactive-shell):
1361 Check, whether `utf-8' is a valid coding system.
1363 2014-11-29 Eli Zaretskii <eliz@gnu.org>
1365 * vc/vc.el (vc-retrieve-tag): Doc fix.
1367 2014-11-28 Stefan Monnier <monnier@iro.umontreal.ca>
1369 * simple.el (execute-extended-command--shorter): Fix the "M-p" case
1372 2014-11-28 Martin Rudalics <rudalics@gmx.at>
1374 Fix two issues around help-window-select. (Bug#11039) (Bug#19012)
1375 * help.el (help-window-old-frame): New variable.
1376 (help-window-select): Default to nil (Bug#11039).
1378 (help-window-setup): When the help window appears on another
1379 frame and `help-window-select' is non-nil, give that frame input
1380 focus too (Bug#19012).
1381 (with-help-window): Store selected frame in
1382 help-window-old-frame.
1384 2014-11-28 Ulf Jasper <ulf.jasper@web.de>
1386 * net/newst-treeview.el (newsticker--treeview-load): Take care of
1387 nil value for `newsticker-groups-filename'.
1389 2014-11-28 Daiki Ueno <ueno@gnu.org>
1391 * epa.el (epa-sign-file, epa-encrypt-file, epa-decrypt-region)
1392 (epa-sign-region, epa-encrypt-region):
1393 Use `epg-context-set-{passphrase,progress}-callback', instead of
1394 `setf'. This partially reverts commit 9e48a95c (bug#19150).
1395 Reported by José A. Romero L.
1397 2014-11-27 Lars Magne Ingebrigtsen <larsi@gnus.org>
1399 * net/eww.el (eww-restore-history):
1400 Bind `inhibit-modification-hooks' instead of `after-change-functions'.
1402 2014-11-27 Ulf Jasper <ulf.jasper@web.de>
1404 * net/newst-backend.el (newsticker--parse-atom-1.0):
1405 Handle embedded (x)html in summary node.
1407 2014-11-27 Sam Steingold <sds@gnu.org>
1409 * menu-bar.el (menu-bar-open): When everything else fails,
1410 use (mouse-menu-bar-map).
1412 2014-11-27 Ulf Jasper <ulf.jasper@web.de>
1414 * net/newst-treeview.el (newsticker-groups-filename):
1415 Change default value to nil. Point out that variable is obsolete in doc
1417 (newsticker--treeview-load): Change wording of the questions the
1418 user is asked when `newsticker-groups-filename' is found to be
1419 used and we offer to read and remove the groups file. (Bug#19165)
1421 2014-11-27 Lars Magne Ingebrigtsen <larsi@gnus.org>
1423 * net/eww.el (eww): Record the new URL immediately, so that if the
1424 HTTP fetch fails, we have the right URL in the buffer.
1425 (eww-process-text-input): Don't shorten the input field if
1426 deleting at the last character (bug#19085).
1427 (eww-restore-history): Inhibit change functions while restoring
1429 (eww-process-text-input): Fix deletion at the start of the field, too.
1430 (eww-mode): Revert mistanken removal of `buffer-disable-undo'.
1431 (eww-process-text-input): Try to keep track of the size more reliably.
1433 * dom.el (dom-pp): New function.
1435 2014-11-27 Eli Zaretskii <eliz@gnu.org>
1437 * vc/vc-bzr.el (vc-bzr-print-log, vc-bzr-expanded-log-entry):
1438 Don't assume --long is the default for "bzr log", always specify
1439 it explicitly, in case the user defined an alias for 'log' that
1440 uses some other format.
1442 2014-11-27 Fabián Ezequiel Gallina <fgallina@gnu.org>
1444 * progmodes/python.el (python-eldoc--get-doc-at-point):
1445 Strip shell output before returning. (bug#18794)
1447 2014-11-27 Dmitry Gutov <dgutov@yandex.ru>
1449 Fix indentation before `!=' and after `+='. Originally reported
1450 in https://github.com/mooz/js2-mode/issues/174.
1451 * progmodes/js.el (js--indent-operator-re): Make assignments and
1452 (in)equality operator a separate case.
1453 (js--continued-expression-p): Escape the second `+' in the regexp.
1455 2014-11-27 Stefan Monnier <monnier@iro.umontreal.ca>
1457 * window.el (handle-select-window): Deactivate shift-region (bug#19003).
1459 2014-11-26 Lars Magne Ingebrigtsen <larsi@gnus.org>
1461 * net/nsm.el (nsm-new-fingerprint-ok-p): Display the certificate
1462 when querying about new certificates.
1464 * net/shr.el (shr-make-table-1): dom.el changes for table rendering.
1466 * dom.el (dom-by-tag): Use `equal' for comparisons so that tags
1468 (dom-elements): Protect against non-text nodes.
1469 (dom-non-text-children): New function.
1471 * net/eww.el (eww-tag-title): Use `dom-text'.
1473 2014-11-26 Sam Steingold <sds@gnu.org>
1475 * textmodes/sgml-mode.el (sgml-validate-command): Pass -utf8 to tidy.
1477 2014-11-26 Lars Magne Ingebrigtsen <larsi@gnus.org>
1479 * net/eww.el (eww-highest-readability): More dom.el fixes.
1481 2014-11-26 Ulf Jasper <ulf.jasper@web.de>
1483 * net/newst-backend.el (newsticker--parse-generic-items):
1484 Take care of UIDs when adding elements to cache.
1486 2014-11-26 Alan Mackenzie <acm@muc.de>
1488 Remove spurious reference to symbol category_properties.
1489 * progmodes/cc-engine.el (c-state-pp-to-literal): Fix here.
1491 2014-11-26 Lars Magne Ingebrigtsen <larsi@gnus.org>
1493 * net/eww.el: Use the new dom.el accessors throughout.
1495 * net/shr.el: Ditto.
1499 2014-11-26 Glenn Morris <rgm@gnu.org>
1501 * arc-mode.el (archive-visit-single-files): Add :version.
1503 2014-11-25 Lars Magne Ingebrigtsen <larsi@gnus.org>
1505 * net/nsm.el (nsm-format-certificate): Don't bug out on missing
1507 (nsm-warnings-ok-p): The new version of this function always
1508 returned nil when everything was OK.
1510 2014-11-25 Teodor Zlatanov <tzz@lifelogs.com>
1512 * net/gnutls.el (gnutls): Set :group to 'comm so it's near NSM.
1514 * net/nsm.el (nsm-check-tls-connection, nsm-save-host)
1515 (nsm-warnings-ok-p): Use `gnutls-peer-status-warning-describe'.
1517 2014-11-20 Nicolas Richard <theonewiththeevillook@yahoo.fr>
1519 * emacs-lisp/byte-run.el (function-put): Match argument names to
1522 2014-11-24 Sam Steingold <sds@gnu.org>
1524 * vc/vc-hooks.el (vc-directory-exclusion-list):
1525 Fix a trivial typo (bug#19171).
1527 2014-11-24 Stefan Monnier <monnier@iro.umontreal.ca>
1529 * vc/vc-hooks.el (vc-state-base-face): Don't override
1532 2014-11-24 Lars Magne Ingebrigtsen <larsi@gnus.org>
1534 * net/eww.el (eww-set-character-encoding): Use `read-coding-system'.
1535 (eww-process-text-input): Inhibit read only so that input fields
1536 don't get shortened (bug#19085).
1538 2014-11-24 Leo Liu <sdl.web@gmail.com>
1540 * emacs-lisp/macroexp.el (macroexp-let2*): New macro.
1542 * window.el (with-temp-buffer-window)
1543 (with-current-buffer-window, with-displayed-buffer-window):
1544 * emacs-lisp/cl-macs.el (cl--compiler-macro-adjoin):
1545 * emacs-lisp/cl-lib.el (substring):
1546 * emacs-lisp/cl-extra.el (cl-getf): Use it.
1548 2014-11-24 Eli Zaretskii <eliz@gnu.org>
1550 * isearch.el (isearch-update): Don't assume
1551 pos-visible-in-window-p will return nil when point is hscrolled
1552 out of view. (Bug#19157)
1554 2014-11-20 Andrey Kotlarski <m00naticus@gmail.com>
1556 * net/eww.el (eww-browse-url): Optionally create new eww buffer.
1557 (eww-follow-link): Follow in new buffer in case of prefix
1558 argument, open externally with double prefix (bug#19130).
1560 2014-11-23 Lars Magne Ingebrigtsen <larsi@gnus.org>
1562 * net/eww.el (eww-display-html): Decode the document-defined charset.
1563 (eww): Pop to the *eww* buffer immediately after executing the
1564 `M-x eww' command to avoid having buffers pop up later.
1565 (eww-display-html): Don't pop the *eww* buffer.
1566 (eww-display-raw): Ditto.
1567 (eww-display-image): Ditto.
1568 (eww-follow-link): Make going to #targets in the page work again.
1570 2014-11-23 Ivan Shmakov <ivan@siamics.net>
1572 * net/eww.el (eww-suggest-uris): New variable.
1573 (eww-suggested-uris): New function.
1574 (eww): Default to URL under point.
1575 (eww-links-at-point): New function.
1577 2014-11-20 Mark Oteiza <mvoteiza@udel.edu> (tiny change)
1579 * net/eww.el (eww-add-bookmark): Fix bookmark titles.
1581 2014-11-17 Mark Oteiza <mvoteiza@udel.edu> (tiny change)
1583 * net/eww.el (eww-mode-map): Bind backtab to shr-previous-link.
1585 2014-11-23 Kenjiro Nakayama <nakayamakenjiro@gmail.com>
1587 * net/eww.el (eww-set-character-encoding): New command and keystroke.
1588 (eww-display-raw): Use it (bug#16225).
1590 2014-11-23 Lars Magne Ingebrigtsen <larsi@gnus.org>
1592 * net/nsm.el (network-security-level): Rename from
1593 `nsm-security-level' and documented.
1595 * mail/smtpmail.el (smtpmail-via-smtp): Warn unless encrypted and
1596 we're sending a password.
1598 * net/nsm.el: New file that implements a Network Security Manager.
1600 * net/network-stream.el (open-network-stream): Add a new
1601 :warn-unless-encrypted parameter.
1602 (network-stream-open-plain): Allow warning unless encrypted.
1603 (network-stream-open-starttls): Call the Network Security Manager.
1604 (network-stream-open-tls): Ditto.
1606 2014-11-23 Leo Liu <sdl.web@gmail.com>
1608 * calendar/cal-china.el (calendar-chinese-from-absolute-for-diary)
1609 (calendar-chinese-to-absolute-for-diary)
1610 (calendar-chinese-mark-date-pattern, diary-chinese-anniversary):
1611 Handle leap months in Chinese calendar. (Bug#18953)
1613 2014-11-22 Alan Mackenzie <acm@muc.de>
1615 Fix error with `mark-defun' and "protected:" in C++ Mode.
1616 Fixes: debbugs:19134.
1618 * progmodes/cc-cmds.el (c-where-wrt-brace-construct): Handle a
1619 return code of (label) from c-beginning-of-decl-1.
1621 2014-11-22 Ulf Jasper <ulf.jasper@web.de>
1623 * net/newst-backend.el (newsticker--sentinel-work):
1624 Tell `libxml-parse-xml-region' to discard comments. Fixes bug#18787.
1626 2014-11-22 Michael Albinus <michael.albinus@gmx.de>
1628 * net/tramp-sh.el (tramp-sh-handle-start-file-process)
1629 (tramp-sh-handle-process-file): Propagate `process-environment'.
1631 * vc/vc-hg.el (vc-hg-state): No special handling for remote files;
1632 Tramp propagates environment variables now.
1634 2014-11-22 Eric S. Raymond <esr@snark>
1636 * vc/vc-filewise.el: New file to isolate code used only by the
1637 file-oriented back ends (SCCS/RCS/CVS/SRC) which should not
1638 live in vc.el and certainly not in vc-hooks.el.
1640 * vc/vc-hooks.el, vc-rcs.el, vc-sccs.el: vc-name -> vc-master-name.
1641 This is preparatory to isolating all the 'master' functions
1642 used only by the file-oriented back ends. With this done first,
1643 the substantive diffs will be easier to read.
1645 2014-11-21 Rüdiger Sonderfeld <ruediger@c-plusplus.net>
1647 * play/morse.el (nato-alphabet): Mark URL in docstring in a way
1648 that is recognized by `help-mode'.
1650 2014-11-21 Stefan Monnier <monnier@iro.umontreal.ca>
1652 * desktop.el (desktop-create-buffer): Use activate-mark to set
1653 `mark-active' (bug#19058).
1655 2014-11-21 Eric S. Raymond <esr@snark>
1657 * vc/vc-src.el (vc-src-state): Fix bug that produced spurious
1660 2014-11-21 Eli Zaretskii <eliz@gnu.org>
1662 * vc/vc.el (vc-deduce-fileset): Support invocation from
1663 *vc-change-log* buffer. (Bug#19084)
1665 2014-11-13 Matthew Leach <matthew@mattleach.net>
1667 * arc-mode.el (archive-visit-single-files): New.
1668 (archive-mode): Visit file if archive contains a single file.
1671 2014-11-21 Ulrich Müller <ulm@gentoo.org>
1673 * vc/vc.el: Fix a typo in the commentary.
1675 2014-11-20 Eric S. Raymond <esr@snark.thyrsus.com>
1677 * vc/vc-src.el, vc/vc.el: Added support for SRC. Needs more
1678 testing and a real log-view mode.
1680 * vc/vc-bzr.el, vc/vc-cvs.el, vc/vc-dav.el, vc/vc-git.el:
1681 * vc/vc-hg.el, vc/vc-mtn.el, vc/vc-rcs.el, vc/vc-sccs.el:
1682 * vc/vc-svn.el, vc/vc.el: Remove editable argument from the backend
1683 checkout methods; where it matters (which is only in SCCS and RCS)
1684 files are always checked out editable. This may actually have
1685 been dynamically true already - it looks like the vc-next-action
1686 code evolved past visiting the other case. Tested with RCS.
1688 * vc/vc-arch.el, vc/vc-bzr.el, vc/vc-cvs.el, vc/vc-dav.el:
1689 * vc/vc-git.el, vc/vc-hg.el, vc/vc-mtn.el, vc/vc-rcs.el:
1690 * vc/vc-sccs.el, vc/vc-svn.el, vc/vc.el: Remove never-used rev
1691 argument from the backend checkin methods. Only the RCS, SCCS,
1692 and CVS back ends tried to do anything with it, and that code was
1693 never exercised. Chiseling away the cruft of decades...
1695 2014-11-19 Lars Magne Ingebrigtsen <larsi@gnus.org>
1697 * net/eww.el (eww-render): Remove a no-op :title setting.
1699 2014-11-19 Ivan Shmakov <ivan@siamics.net>
1701 * net/eww.el (eww-history-limit): New variable.
1702 (eww-save-history): Use it (bug#19105).
1703 (eww-reload): Reload the page in the right buffer.
1705 2014-11-19 Lars Magne Ingebrigtsen <larsi@gnus.org>
1707 * net/eww.el (eww-desktop-misc-data): Use `cl-remove-duplicates'.
1709 2014-11-19 Ivan Shmakov <ivan@siamics.net>
1711 * net/eww.el (eww-desktop-remove-duplicates)
1712 (eww-restore-desktop, eww-restore-reload-prompt): New variables.
1713 (eww-mode): Set up desktop mode (bug#18010).
1714 (eww-desktop-data-save, eww-desktop-data-1)
1715 (eww-desktop-history-duplicate, eww-desktop-misc-data)
1716 (eww-restore-desktop): New functions.
1718 2014-11-19 Eli Zaretskii <eliz@gnu.org>
1720 * vc/vc.el (vc-log-internal-common): Turn on log-view-mode in the
1721 correct buffer. (Bug#19101)
1723 2014-11-19 Rüdiger Sonderfeld <ruediger@c-plusplus.net>
1725 * vc/vc-git.el (vc-git-diff): Use "difftool -x diff" with
1726 `diff-switches' if `vc-git-diff-switches' is nil. (Bug#19099)
1728 2014-11-19 Artur Malabarba <bruce.connor.am@gmail.com>
1730 * lisp/ido.el (ido-bury-buffer-at-head): New command.
1731 (ido-buffer-completion-map): Bind it to C-S-b.
1733 2014-11-18 Juri Linkov <juri@linkov.net>
1735 * simple.el (next-line-or-history-element): Wrap next-line
1736 in with-no-warnings.
1737 (previous-line-or-history-element): Wrap previous-line
1738 in with-no-warnings.
1740 2014-11-18 Juri Linkov <juri@linkov.net>
1742 * progmodes/grep.el (grep-compute-defaults):
1743 Compute grep-highlight-matches before its use.
1745 2014-11-18 Juri Linkov <juri@linkov.net>
1747 * replace.el (query-replace-from-to-separator): Turn defvar into
1748 defcustom. Wrap char-displayable-p in ignore-errors because an
1749 attempt to autoload char-displayable-p fails during pre-loading.
1750 Move (propertize "\0" ... 'separator t) out of customizable part
1751 to query-replace-read-from.
1752 (query-replace-read-from): Call custom-reevaluate-setting on
1753 query-replace-from-to-separator to reevaluate the separator
1754 depending on the return value of char-displayable-p.
1755 http://lists.gnu.org/archive/html/emacs-devel/2014-11/msg00466.html
1757 2014-11-18 Juri Linkov <juri@linkov.net>
1759 * bindings.el (minibuffer-local-map): Rebind [down] from
1760 next-history-element to next-line-or-history-element, and [up]
1761 from previous-history-element to previous-line-or-history-element.
1763 * simple.el (next-line-or-history-element)
1764 (previous-line-or-history-element): New commands.
1765 http://lists.gnu.org/archive/html/emacs-devel/2014-11/msg00822.html
1767 2014-11-18 Leo Liu <sdl.web@gmail.com>
1769 * emacs-lisp/nadvice.el (define-advice): New macro.
1770 * emacs-lisp/lisp-mode.el (lisp-imenu-generic-expression):
1772 (lisp-font-lock-keywords-1): Add define-advice.
1774 2014-11-18 Daiki Ueno <ueno@gnu.org>
1776 * epg.el (epg-context): New slot EDIT-CALLBACK.
1777 (epg--process-filter): Call EDIT-CALLBACK when editing a key.
1778 (epg-reset): Reset EDIT-CALLBACK of the context.
1779 (epg-start-edit-key): New function.
1780 (epg-edit-key): New function.
1782 2014-11-18 Paul Eggert <eggert@cs.ucla.edu>
1784 Port new time stamp handling to Emacs 23.2.
1785 This fix is for Gnus. Problem reported by Katsumi Yamaoka.
1786 * calendar/time-date.el (time-add, time-subtract, time-less-p):
1787 Use eval-and-compile, not eval-when-compile.
1789 2014-11-18 Daiki Ueno <ueno@gnu.org>
1791 * epg.el (epg-context-set-passphrase-callback)
1792 (epg-context-set-progress-callback): Check if the CALLBACK
1793 argument is a function, instead of a cons.
1795 2014-11-18 Daiki Ueno <ueno@gnu.org>
1797 * epa-file.el (epa-file-insert-file-contents)
1798 (epa-file-write-region): Remove redundant check of
1800 * epa.el (epa-sign-file, epa-encrypt-file, epa-decrypt-region)
1801 (epa-sign-region, epa-encrypt-region): Remove redundant check of
1804 2014-11-18 Daiki Ueno <ueno@gnu.org>
1806 * epa-file.el (epa-file-insert-file-contents): Don't show
1807 "*Error*" buffer if input file does not exist.
1808 Reported by Herbert J. Skuhra.
1810 2014-11-18 Paul Pogonyshev <pogonyshev@gmail.com>
1811 Rüdiger Sonderfeld <ruediger@c-plusplus.net>
1813 * progmodes/cc-langs.el: Support some of the new keywords in C++11.
1814 An alternative version of the patch from bug#13871.
1815 (c-operators): Add "alignof".
1816 (c-primitive-type-kwds): Add "char16_t", "char32_t".
1817 (c-type-modifier-kwds): Add "constexpr", "noexcept".
1818 (c-modifier-kwds): Add "thread_local".
1819 (c-constant-kwds): Add "nullptr".
1821 2014-11-17 Michal Nazarewicz <mina86@mina86.com>
1823 * textmodes/tildify.el (tildify-pattern, tildify-space-string):
1824 New variables for specifying tildify pattern and representation of
1825 a hard space -- a no-break space by default -- respectively.
1826 Being buffer-local they are much easier to handle than
1827 `tildify-string-alist' and `tildify-pattern-alist' respectively
1828 that have been used so far. They also works better with derived
1830 (tildify-foreach-region-function): New variable specifying
1831 a function determining portions of buffer that should be
1832 tildified. It allows major modes to create a filtering function
1833 more elaborate than a set of regular expressions. Initialised to
1834 `tildify--deprecated-ignore-evironments' by default to handle now
1835 deprecated `tildify-ignored-environments-alist' variable.
1836 (tildify--foreach-region): A new function that takes
1837 `tildify-foreach-region-function' into account and calls callback
1838 for regions of the buffer that should be tildified.
1839 (tildify-foreach-ignore-environments): A new function which can be
1840 partially applied and used as `tildify-foreach-region-function'.
1841 (tildify-ignored-environments-alist, tildify-pattern)
1842 (tildify-string-alist, tildify--pick-alist-entry): Mark as obsolete.
1843 (tildify--find-env): Rename from `tildify-find-env' and mark as
1845 (tildify--deprecated-ignore-evironments): New function,
1846 immediately marked as obsolete, used to handle deprecated
1847 `tildify-ignored-environments-alist'.
1849 * textmodes/tex-mode.el (tex-common-initialization):
1850 Set `tildify-space-string' and `tildify-foreach-region-function'
1851 variables in all variants of TeX mode since `tildify-string-alist'
1852 and `tildify-ignored-environments-alist' are now empty by default.
1854 * nxml/nxml-mode.el (nxml-mode): Ditto in `nxml-mode'.
1855 If encoding supports it use no-break space instead of character
1856 entity; this changes previous default which used a numeric
1859 * textmodes/sgml-mode.el (sgml-mode): ditto in `sgml-mode'.
1860 If encoding does not support no-break space, use numeric reference;
1861 this changes previous default which used named entity (“ ”)
1864 2014-11-17 Ulf Jasper <ulf.jasper@web.de>
1866 * calendar/icalendar.el (icalendar-export-alarms):
1867 New customizable variable. (Bug#5433)
1868 (icalendar-export-region): Export alarms as specified in
1869 `icalendar-export-alarms'.
1870 (icalendar--create-ical-alarm, icalendar--do-create-ical-alarm):
1871 New functions for exporting alarms.
1873 2014-11-17 Lars Magne Ingebrigtsen <larsi@gnus.org>
1875 * bindings.el (search-map): Move `eww-search-words' to `M-s M-w'.
1877 2014-11-17 Paul Eggert <eggert@cs.ucla.edu>
1879 Port new time stamp handling to old Emacs and to XEmacs.
1880 This is needed for Gnus, which copies time-date.el and which
1881 runs on older Emacs implementations.
1882 * calendar/time-date.el (with-decoded-time-value):
1883 Handle 'nil' and floating-point arg more compatibly with new Emacs.
1884 (encode-time-value, with-decoded-time-value):
1885 Obsolete only if new Emacs.
1886 (time-add, time-subtract, time-less-p): Define if not new Emacs.
1888 Improve time stamp handling, and be more consistent about it.
1889 This implements a suggestion made in:
1890 http://lists.gnu.org/archive/html/emacs-devel/2014-10/msg00587.html
1891 Among other things, this means timer.el no longer needs to
1892 autoload the time-date module.
1893 * allout-widgets.el (allout-elapsed-time-seconds): Doc fix.
1894 * arc-mode.el (archive-ar-summarize):
1895 * calendar/time-date.el (seconds-to-time, days-to-time, time-since):
1896 * emacs-lisp/timer.el (timer-relative-time, timer-event-handler)
1897 (run-at-time, with-timeout-suspend, with-timeout-unsuspend):
1898 * net/tramp.el (tramp-time-less-p, tramp-time-subtract):
1899 * proced.el (proced-time-lessp):
1900 * timezone.el (timezone-time-from-absolute):
1901 * type-break.el (type-break-schedule, type-break-time-sum):
1902 Simplify by using new functionality.
1903 * calendar/cal-dst.el (calendar-next-time-zone-transition):
1904 Do not return time values in obsolete and undocumented (HI . LO)
1905 format; use (HI LO) instead.
1906 * calendar/time-date.el (with-decoded-time-value):
1907 Treat 'nil' as current time. This is mostly for XEmacs.
1908 (encode-time-value, with-decoded-time-value): Obsolete.
1909 (time-add, time-subtract, time-less-p): Use no-op autoloads, for
1910 XEmacs. Define only if XEmacs, as they're now C builtins in Emacs.
1911 * ldefs-boot.el: Update to match new time-date.el
1912 * proced.el: Do not require time-date.
1914 2014-11-16 Lars Magne Ingebrigtsen <larsi@gnus.org>
1916 * net/eww.el (eww-mode): Make the buffer read-only.
1917 (eww-form-text): Inhibit read-only-ness in text input fields
1920 2014-11-16 Stefan Monnier <monnier@iro.umontreal.ca>
1922 * simple.el (execute-extended-command--shorter): Cut search here.
1923 (execute-extended-command): Instead of here.
1925 2014-11-16 Fabián Ezequiel Gallina <fgallina@gnu.org>
1927 * progmodes/python.el (python-mode): Avoid use of set-local to
1928 keep Emacs 24.x compatibility.
1930 2014-11-16 Lars Magne Ingebrigtsen <larsi@gnus.org>
1932 * net/shr.el (shr): Move to the new defgroup `web'.
1934 * net/eww.el (eww): Ditto.
1936 * simple.el (execute-extended-command): Don't show the help
1937 message if the binding isn't significantly shorter than the
1938 M-x command the user typed (bug#19013).
1940 2014-11-16 Ulf Jasper <ulf.jasper@web.de>
1942 * calendar/icalendar.el (icalendar--convert-tz-offset):
1943 Return complete cons when offsets of standard time and daylight saving
1945 (icalendar-export-region): Fix unbound variable warning.
1947 2014-11-16 Fabián Ezequiel Gallina <fgallina@gnu.org>
1949 * progmodes/python.el (run-python): Allow CMD to be optional and
1950 default it to a safe command, even for Windows. (bug#18596)
1952 2014-11-16 Fabián Ezequiel Gallina <fgallina@gnu.org>
1954 * progmodes/python.el (python-shell-calculate-command):
1955 Rename from python-shell-parse-command. Cleanup.
1956 (run-python, run-python-internal): Use it.
1957 (python-shell-calculate-pythonpath): Rename from
1958 python-new-pythonpath.
1959 (python-shell-calculate-process-environment): Use it.
1960 (python-shell-calculate-exec-path): Add comment.
1962 2014-11-16 Thierry Banel <tbanelwebmin@free.fr> (tiny change)
1964 * calc/calc-arith.el (math-max-list, math-min-list): Fix bug
1967 2014-11-16 Andreas Schwab <schwab@linux-m68k.org>
1969 * version.el (emacs-repository-get-version): Use git rev-parse
1972 2014-11-16 Fabián Ezequiel Gallina <fgallina@gnu.org>
1974 * progmodes/python.el (python-indent-calculate-levels):
1975 Fix indentation behavior multiline dedenter statement. (Bug#18432)
1977 2014-11-16 Fabián Ezequiel Gallina <fgallina@gnu.org>
1979 * progmodes/python.el (python-indent-region):
1980 Use python-indent-line and skip special cases. (Bug#18843)
1982 2014-11-16 Peder O. Klingenberg <peder@klingenberg.no>
1984 * mail/emacsbug.el (report-emacs-bug): Make a better guess at
1985 envelope-from when reporting through sendmail (bug#19054).
1987 2014-11-16 Oscar Fuentes <ofv@wanadoo.es>
1989 Add faces for the VC modeline state indicator.
1991 (vc-state-faces, vc-state-base-face)
1992 (vc-up-to-date-state, vc-needs-update-state)
1993 (vc-locked-state, vc-locally-added-state)
1994 (vc-conflict-state, vc-removed-state)
1995 (vc-missing-state, vc-edited-state):
1997 (vc-default-mode-line-string): Use them
1999 2014-11-16 Stefan Monnier <monnier@iro.umontreal.ca>
2001 * emacs-lisp/backquote.el (backquote-process): Optimize away ",'".
2003 2014-11-15 Lars Magne Ingebrigtsen <larsi@gnus.org>
2005 * net/eww.el (eww-search-words): Mention `eww-search-prefix'.
2007 2014-11-15 Fabián Ezequiel Gallina <fgallina@gnu.org>
2009 * progmodes/python.el (python-eldoc-setup-code): Enhance string
2010 type checks, simplify printing. (Bug#18962)
2012 2014-11-14 Ivan Andrus <darthandrus@gmail.com>
2014 * progmodes/python.el (python-shell-font-lock-kill-buffer):
2015 (python-shell-font-lock-with-font-lock-buffer)
2016 (python-shell-get-buffer, python-ffap-module-path):
2017 Use `derived-mode-p' instead of equality test on `major-mode'.
2019 2014-11-14 Fabián Ezequiel Gallina <fgallina@gnu.org>
2021 * progmodes/python.el (python-shell-virtualenv-root): Rename from
2022 python-shell-virtualenv-path.
2023 (python-shell-internal-get-process-name)
2024 (python-shell-calculate-process-environment)
2025 (python-shell-calculate-exec-path): Use it.
2027 2014-11-14 Eli Zaretskii <eliz@gnu.org>
2029 * bindings.el (search-map): Fix last change: don't use 'kbd' in
2030 bindings.el, since it is not yet loaded when bindings.el is
2033 2014-11-14 Fabián Ezequiel Gallina <fgallina@gnu.org>
2035 * progmodes/python.el (python-shell-completion-get-completions):
2038 2014-11-14 Lars Magne Ingebrigtsen <larsi@gnus.org>
2040 * net/eww.el (eww-render): Don't set the title to the URL.
2042 2014-11-13 Ulrich Müller <ulm@gentoo.org>
2044 * version.el (emacs-repository-get-version): Call `git log'
2045 command with proper format argument (bug#19049).
2047 2014-11-14 Lars Magne Ingebrigtsen <larsi@gnus.org>
2049 * bindings.el (search-map): Bind M-s M-s to `eww-search-words'.
2051 2014-11-14 Kenjiro NAKAYAMA <nakayamakenjiro@gmail.com>
2053 * net/eww.el (eww-search-words): New command (bug#16258).
2055 2014-11-13 Lars Magne Ingebrigtsen <larsi@gnus.org>
2057 * net/shr.el (shr-inhibit-images): Add a doc string.
2059 * net/eww.el (eww-after-render-hook): New variable.
2060 (eww-render): Use it.
2062 * net/shr.el (shr-descend): Don't descend further than
2063 `max-specpdl-size' allows (bug#16587).
2064 (shr-depth): New variable.
2065 (shr-warning): New variable.
2067 2014-11-13 Ivan Shmakov <ivan@siamics.net>
2069 * net/shr.el (shr-parse-base): Handle <base href=""> correctly.
2070 (shr-expand-url): Expand absolute URLs correctly (bug#17958).
2072 2014-11-13 Lars Magne Ingebrigtsen <larsi@gnus.org>
2074 * net/eww.el (eww): Add comment to clarify.
2076 * net/shr.el (shr-parse-image-data): Remove blocked bits from
2077 external SVG images.
2078 (shr-tag-object): Display images in <object> forms (bug#16244).
2079 (shr-tag-table): Also insert <objects> after the tables.
2081 2014-11-13 Michael Albinus <michael.albinus@gmx.de>
2083 * vc/vc-hg.el (vc-hg-state): Disable pager. (Bug#18940)
2085 2014-11-13 Lars Magne Ingebrigtsen <larsi@gnus.org>
2087 * net/eww.el (eww-form-file): Fix version number.
2089 2014-11-10 Lars Magne Ingebrigtsen <larsi@gnus.org>
2091 * net/eww.el (eww-form-file): :type isn't a valid `defface' keyword.
2093 2014-11-10 Kenjiro NAKAYAMA <nakayamakenjiro@gmail.com>
2095 * net/eww.el(eww-form-file(defface)): New defface of file upload form.
2096 (eww-submit-file): New key map of file upload.
2097 (eww-form-file): New file upload button and file name context.
2098 (eww-select-file): Select file and display selected file name.
2099 (eww-tag-input): Handle input tag of file type.
2100 (eww-update-field): Add point offset.
2101 (eww-submit): Add submit with multipart/form-data.
2103 2014-11-10 Lars Magne Ingebrigtsen <larsi@gnus.org>
2105 * net/eww.el (eww-render, eww-display-html, eww-setup-buffer):
2106 Allow taking a buffer to render data in. This allows using several
2107 eww buffers (bug#16211).
2109 2014-11-10 Charles Rendleman <carendle@gmail.com> (tiny change)
2111 * net/eww.el (eww-download-callback): Save only the file contents,
2114 2014-11-10 Lars Magne Ingebrigtsen <larsi@gnus.org>
2116 * net/eww.el (eww-data): New plist to store all the data relevant
2117 to a single page, used throughout the file instead of the
2118 variables `eww-current-url', `eww-current-dom',
2119 `eww-current-source', and `eww-current-title'.
2120 (eww-readable): Copy over pertinent data from the parent page.
2121 (eww-save-history): Don't let the history grow infinitely.
2123 * net/eww.el: Remove `eww-next-url', `eww-previous-url',
2124 `eww-up-url', `eww-home-url', `eww-start-url' and
2125 `eww-contents-url' and put the data into the `eww-data' plist.
2126 This allow restoring these values after going back in the history.
2128 2014-11-10 Sylvain Chouleur <sylvain.chouleur@gmail.com> (tiny change)
2130 Allow VTIMEZONE where daylight and standard time zones are equal.
2131 See: http://lists.gnu.org/archive/html/emacs-devel/2014-11/msg00494.html
2132 * calendar/icalendar.el (icalendar--convert-tz-offset):
2133 Support timezone without daylight saving time.
2135 2014-11-10 Glenn Morris <rgm@gnu.org>
2137 * startup.el (command-line): Handle nil elements in load-path.
2139 2014-11-10 Stefan Monnier <monnier@iro.umontreal.ca>
2141 * help.el (view-lossage): Include the actual commands run.
2143 2014-11-10 Dmitry Gutov <dgutov@yandex.ru>
2145 * vc/vc-dir.el (vc-dir-hide-state): Also hide `ignored' items when
2146 no state is specified. (Bug#18964)
2148 2014-11-09 Eric Ludlam <zappo@gnu.org>
2150 * emacs-lisp/eieio-custom.el (eieio-customize-object):
2151 Set eieio-cog (current group) to g, which is an improved form of input
2154 2014-11-09 Juri Linkov <juri@jurta.org>
2156 * isearch.el (isearch-message-prefix): Show "Multi-file" and
2157 "Multi-buffer" instead of "Multi". (Bug#13592)
2159 * misearch.el (multi-isearch-file-list):
2160 Autoload multi-isearch-buffer-list and multi-isearch-file-list.
2161 (multi-isearch-end): Reset multi-isearch-buffer-list and
2162 multi-isearch-file-list to nil.
2164 2014-11-09 Stefan Monnier <monnier@iro.umontreal.ca>
2166 * emacs-lisp/bytecomp.el (byte-compile-initial-macro-environment):
2167 Don't call byte-compile-preprocess since the result will go through
2169 (byte-compile-output-docform): Handle uninterned `name' correctly.
2170 * emacs-lisp/cl-macs.el (cl-define-compiler-macro): Use interned name
2171 to circumvent byte-compiler bug.
2173 * emacs-lisp/macroexp.el (macroexp--expand-all): Fix typo.
2174 (macroexp--compiler-macro): Remove left-over debug code.
2176 * emacs-lisp/cl-extra.el (cl-get): Silence compiler warning.
2178 2014-11-08 Juri Linkov <juri@jurta.org>
2180 * simple.el (shell-command): Use buffer-name when output-buffer is
2181 a buffer. (Bug#18096)
2183 2014-11-08 Juri Linkov <juri@jurta.org>
2185 * minibuffer.el (minibuffer-completion-help): Compare this-command
2186 with completion-at-point. (Bug#17809)
2188 2014-11-08 Glenn Morris <rgm@gnu.org>
2190 * emacs-lisp/bytecomp.el (byte-compile-report-error):
2191 Allow the argument to be a string. Due to the vague doc,
2192 it was already being used this way.
2194 2014-11-08 Michael Albinus <michael.albinus@gmx.de>
2196 * net/tramp.el (tramp-check-cached-permissions): Include hop in
2197 the constructed Tramp file name. (Bug#18943)
2199 2014-11-08 Stefan Monnier <monnier@iro.umontreal.ca>
2201 * emulation/cua-base.el (cua--select-keymaps): Use region-active-p
2203 (cua-set-mark, cua--post-command-handler-1):
2204 * emulation/cua-gmrk.el (cua-cancel-global-mark): Same.
2206 2014-11-08 Michael Albinus <michael.albinus@gmx.de>
2208 * files.el (file-name-non-special): Wrap the call of
2209 `insert-file-contents' by `unwind-protect', in order to set the
2210 buffer's file name anyway. (Bug#18891)
2212 2014-11-08 Alan Mackenzie <acm@muc.de>
2214 Fix wrong bound to c-font-lock-declarators. Fixes bug #18948.
2215 * progmodes/cc-fonts.el (c-font-lock-declarations):
2216 Pass "(point-max)" as bound to c-font-lock-declarators, not "limit", as
2217 the buffer is sometimes narrowed to less than "limit" (e.g., in
2218 the presence of macros).
2220 2014-11-08 Michael Albinus <michael.albinus@gmx.de>
2222 * net/tramp.el (tramp-error-with-buffer): Show connection buffer
2223 only when message appeared in minibuffer. (Bug#18891)
2225 * net/tramp-adb.el (tramp-adb-handle-file-attributes):
2226 * net/tramp-gvfs.el (tramp-gvfs-handle-file-attributes):
2227 * net/tramp-sh.el (tramp-sh-handle-file-attributes): Return nil in
2230 2014-11-08 Stefan Monnier <monnier@iro.umontreal.ca>
2232 * emacs-lisp/bytecomp.el (byte-compile-initial-macro-environment):
2233 Don't compile before eval in `eval-and-compile'.
2234 (byte-compile-arglist-warn): Add check for defining macros after their
2235 first use. Check call use even if the function is fboundp.
2237 2014-11-08 Richard Stallman <rms@gnu.org>
2239 * mail/rmail.el (rmail-epa-decrypt): Detect armor with line prefixes.
2240 Check more carefully for mime-part specified character set.
2241 Check for mime-part Content Transfer Encoding.
2242 Notify if no armor found.
2244 2014-11-08 Martin Rudalics <rudalics@gmx.at>
2246 * faces.el (face-set-after-frame-default): Enable running
2247 `window-configuration-change-hook'.
2249 2014-11-07 Juri Linkov <juri@jurta.org>
2251 * replace.el: History for query replace pairs.
2252 (query-replace-defaults): Promote to a list of cons cell. Doc fix.
2253 (query-replace-from-to-separator): New variable.
2254 (query-replace-read-from): Let-bind query-replace-from-to-history
2255 to a list of FROM-TO strings created from query-replace-defaults
2256 and separated by query-replace-from-to-separator. Use it as
2257 the history while reading from the minibuffer. Split the returned
2258 string by the separator to get FROM and TO parts, and add them
2259 to the history variables.
2260 (query-replace-read-to): Add FROM-TO pairs to query-replace-defaults.
2261 (query-replace-regexp-eval): Let-bind query-replace-defaults to nil.
2262 http://lists.gnu.org/archive/html/emacs-devel/2014-11/msg00253.html
2264 * isearch.el (isearch-text-char-description): Keep characters
2265 intact and put formatted strings with the `display' property.
2267 2014-11-07 Martin Rudalics <rudalics@gmx.at>
2269 * cus-start.el (frame-resize-pixelwise): Fix group.
2270 (frame-inhibit-implied-resize): Add entry.
2272 2014-11-07 Daiki Ueno <ueno@gnu.org>
2274 * epa.el (epa-pinentry-mode): New user option.
2275 (epa-sign-file, epa-encrypt-file, epa-decrypt-region)
2276 (epa-sign-region, epa-encrypt-region): Respect epa-pinentry-mode.
2277 * epa-file.el (epa-file-insert-file-contents)
2278 (epa-file-write-region): Respect epa-pinentry-mode.
2280 2014-11-07 Daiki Ueno <ueno@gnu.org>
2282 * epg.el (epg--list-keys-1): Ignore fields after the 15th field
2283 (bug#18979). Reported by Hideki Saito.
2285 2014-11-06 Daiki Ueno <ueno@gnu.org>
2287 * emacs-lisp/package.el (package--display-verify-error): New function.
2288 (package--check-signature): Use it to display output sent to stderr.
2290 2014-11-06 Stefan Monnier <monnier@iro.umontreal.ca>
2292 * subr.el (pop): Don't call the getter twice (bug#18968).
2294 * emacs-lisp/macroexp.el (macroexp--expand-all): Optimize away trivial
2297 2014-11-06 Daiki Ueno <ueno@gnu.org>
2299 * epa.el (epa-error-buffer): New variable.
2300 (epa-display-error): New function.
2301 (epa-decrypt-file, epa-verify-file, epa-verify-region)
2302 (epa-delete-keys, epa-import-keys): Display output sent to stderr.
2303 (epa-sign-file, epa-sign-region, epa-encrypt-region)
2304 (epa-export-keys, epa-insert-keys): Display output sent to stderr.
2305 Use setf instead of epg-context-set-*.
2306 * epa-file.el (epa-file-insert-file-contents):
2307 Use epa-display-error instead of epa-display-info. Mimic the behavior
2308 of jka-compr when decryption program is not found.
2309 (epa-file-write-region): Use epa-display-error instead of
2312 2014-11-05 Stefan Monnier <monnier@iro.umontreal.ca>
2314 * vc/vc.el (vc-region-history): New command.
2315 (vc-print-log-internal): Use cl-some.
2317 * vc/vc-git.el (vc-git-region-history): New function.
2318 (vc-git-region-history-mode-map)
2319 (vc-git--log-view-long-font-lock-keywords)
2320 (vc-git-region-history-font-lock-keywords): New vars.
2321 (vc-git-region-history-font-lock): New function.
2322 (vc-git-region-history-mode): New major mode.
2324 2014-11-05 Tassilo Horn <tsdh@gnu.org>
2326 * net/eww.el (subr-x): Require subr-x at compile-time because eww
2329 2014-11-05 Daiki Ueno <ueno@gnu.org>
2331 * epg.el (epg-context): Add new slot ERROR-OUTPUT.
2332 (epg-error-output): New buffer-local variable.
2333 (epg--start): Initialize epg-error-output.
2334 (epg--process-filter): Record output lines sent to stderr, in
2336 (epg-wait-for-completion): Copy epg-error-output to ERROR-OUTPUT
2338 * epa-file.el (epa-file-insert-file-contents): On error, display
2339 output sent to stderr.
2340 (epa-file-write-region): Likewise.
2342 2014-11-05 Eli Zaretskii <eliz@gnu.org>
2344 * jit-lock.el (jit-lock-stealth-fontify): Be tolerant to nil being
2345 returned by load-average.
2347 2014-11-05 Michael Albinus <michael.albinus@gmx.de>
2349 * net/tramp-sh.el (tramp-do-copy-or-rename-file-via-buffer): Don't use
2350 a local copy; setting `inhibit-file-name-handlers' proper might be
2351 more performant. (Bug#18751)
2353 2014-11-05 Glenn Morris <rgm@gnu.org>
2355 * mail/emacsbug.el (report-emacs-bug): No longer include
2356 recent-keys in the report. (Bug#18900)
2358 2014-11-04 Paul Eggert <eggert@cs.ucla.edu>
2360 * mouse.el (mouse-drag-line): Fix misspelling of "right-fringe".
2362 2014-11-04 Teodor Zlatanov <tzz@lifelogs.com>
2364 * net/eww.el (eww): Trim URL with `string-trim'.
2365 Suggested by Vibhav Pant <vibhavp@gmail.com>.
2367 2014-11-03 Lars Magne Ingebrigtsen <larsi@gnus.org>
2369 * net/eww.el (eww-score-readability): Don't count comments positively.
2371 * net/shr.el (shr-retransform-dom): Typo fix.
2373 * net/eww.el (eww-score-readability): Parse SVC images correctly.
2374 (eww-display-html): Don't leave point inside forms.
2376 * net/shr.el: Ditto.
2378 2014-11-03 Stefan Monnier <monnier@iro.umontreal.ca>
2380 * emacs-lisp/edebug.el (edebug-safe-prin1-to-string): Assume that
2381 edebug-prin1-to-string already handles circularity.
2383 * emacs-lisp/byte-run.el (defun-declarations-alist): Fix compiler-macro
2384 autoloading when specified as a lambda.
2386 * simple.el (execute-extended-command--last-typed): New var.
2387 (read-extended-command): Set it.
2388 Don't complete obsolete commands.
2389 (execute-extended-command--shorter-1)
2390 (execute-extended-command--shorter): New functions.
2391 (execute-extended-command): Use them to suggest shorter names.
2392 (indicate-copied-region, deactivate-mark): Use region-active-p.
2394 2014-11-03 Michael Albinus <michael.albinus@gmx.de>
2396 * net/tramp-sh.el (tramp-do-copy-or-rename-file-via-buffer): Use a
2397 local copy of FILENAME, when it is remote. (Bug#18751)
2399 * net/tramp-adb.el (tramp-adb-handle-process-file): Do not raise
2400 an error when the command fails; the return code must indicate.
2401 (tramp-adb-send-command-and-check): Fix docstring.
2403 2014-11-03 Lars Magne Ingebrigtsen <larsi@gnus.org>
2405 * net/shr.el (shr-retransform-dom): Don't ignore elements that
2406 have no children like <br />.
2408 * net/eww.el (eww-display-html): Clear `url-queue'.
2409 (eww-display-pdf): New function.
2410 (eww-render): Display PDFs with `doc-view'.
2411 (url-queue): Require `url-queue' to avoid compilation warning.
2412 (eww-colorize-region): Remove duplicate function.
2413 (eww-tag-body): Use `shr-colorize-region'.
2415 2014-11-03 Yoni Rabkin <yrk@gnu.org>
2417 * net/eww.el (eww-list-bookmarks): Autoload.
2419 2014-11-03 Lars Magne Ingebrigtsen <larsi@gnus.org>
2421 * net/shr.el (shr-retransform-dom): Allow several text sub-nodes.
2423 * net/eww.el (eww-display-html): The charset is called `utf-8',
2425 (eww-readable): Decode the saved text correctly.
2426 (eww-readable): Save the history before displaying so that we can
2427 go back to the non-readable version.
2428 (eww-display-html): Don't try to decode the text if we've been
2429 passed in a pre-parsed DOM.
2430 (eww-tag-title): Remove newlines and extra whitespace from the
2433 2014-11-02 Lars Magne Ingebrigtsen <larsi@gnus.org>
2435 * net/eww.el (eww-readable): New command and keystroke.
2437 * net/shr.el (shr-retransform-dom): New function.
2439 * net/eww.el (eww-display-html): Set `eww-current-source' in the
2441 (eww-view-source): Use it.
2443 2014-11-02 Ivan Shmakov <ivan@siamics.net>
2445 * net/eww.el (eww): Recognize colon-delimited IPv6 addresses.
2448 2014-11-02 Brian McKenna <brian@brianmckenna.org> (tiny change)
2450 * net/eww.el (eww-submit): Encode empty form values as "". (Bug#17785).
2452 2014-11-02 Ivan Shmakov <ivan@siamics.net>
2454 * net/eww.el (eww): Allow "file:/file/name" URLs. (Bug#18825).
2456 2014-11-02 Ivan Shmakov <ivan@siamics.net>
2458 * net/eww.el (eww-mode-map): Remove mentions of `eww-quit'.
2461 2014-11-02 Eric Abrahamsen <eric@ericabrahamsen.net>
2463 * emacs-lisp/eieio.el (eieio-edebug-prin1-to-string): Adjust for
2465 (edebug-setup-hook): Advise `edebug-prin1-to-string'. (Bug#18897)
2467 2014-11-02 Stefan Monnier <monnier@iro.umontreal.ca>
2469 * emacs-lisp/pp.el (pp-macroexpand-expression): Use macroexpand-1
2471 * progmodes/elisp-mode.el (emacs-lisp-macroexpand): Idem.
2473 2014-11-01 Michael R. Mauger <michael@mauger.com>
2475 * sql.el (sql-mode-oracle-font-lock-keywords): Correct regexp
2476 syntax, add new keywords, and parse longer keywords first.
2477 (sql-redirect-one): Protect against empty command.
2478 (sql-mode, sql-interactive-mode): Set `custom-mode-group' property
2481 2014-11-01 Michael R. Mauger <michael@mauger.com>
2483 * sql.el (sql-interactive-mode, sql-stop): Correct fix for
2484 Bug#16814 with let-bind of comint-input-ring variables around read
2487 2014-11-01 Michael Albinus <michael.albinus@gmx.de>
2489 * net/tramp-cache.el (tramp-get-file-property)
2490 (tramp-set-file-property): Check that `tramp-cache-get-count-*'
2491 and `tramp-cache-set-count-*' are bound. Otherwise, there might
2492 be compiler warnings.
2494 * net/tramp-sh.el (tramp-get-remote-uid, tramp-get-remote-gid):
2495 Return -1 respective "UNKNOWN", if uid or gid cannot be determined.
2497 2014-11-01 Eli Zaretskii <eliz@gnu.org>
2499 * progmodes/compile.el (compilation-mode): Turn off deferred
2500 fontifications locally. (Bug#18856)
2502 2014-11-01 Wolfgang Jenkner <wjenkner@inode.at>
2504 * net/tramp-sh.el (tramp-send-command): Fix the case where the
2505 remote-echo connection property is non-nil (bug#18858).
2507 2014-11-01 Stefan Monnier <monnier@iro.umontreal.ca>
2509 * simple.el (newline): Add assertions to try and help catch bug#18913.
2511 * emulation/cua-base.el (cua-delete-region): Use delete-active-region
2513 (cua--last-deleted-region-pos, cua--last-deleted-region-text): Remove.
2515 2014-11-01 Kim F. Storm <storm@cua.dk>
2517 Restore cua-delete-copy-to-register-0 and M-v command (bug#18886).
2518 * delsel.el (delete-selection-save-to-register)
2519 (delsel--replace-text-or-position): New vars.
2520 (delete-active-region): Use them.
2521 (delete-selection-repeat-replace-region): New command, moved from
2523 * emulation/cua-base.el (cua--repeat-replace-text): Remove var.
2524 (cua-repeat-replace-region): Move command to delsel.el.
2525 (cua--init-keymaps): Update binding accordingly.
2526 (cua-mode): Set delete-selection-save-to-register.
2528 2014-11-01 Alan Mackenzie <acm@muc.de>
2530 Make blink-parens work with a closing template delimiter.
2531 * progmodes/cc-cmds.el (c-electric-lt-gt): Cause a redisplay
2532 before calling blink-paren-function, so as to apply syntax-table
2533 properties to the ">".
2535 2014-11-01 Jan Djärv <jan.h.d@swipnet.se>
2537 * select.el (gui-get-selection): Comment: data-type ignored on NS.
2539 2014-10-31 Stefan Monnier <monnier@iro.umontreal.ca>
2541 * emacs-lisp/macroexp.el (macroexpand-1): New function (bug#18821).
2542 (macroexp--expand-all): Unrelated tweaks.
2544 * emacs-lisp/gv.el (gv-get): Use macroexpand-1.
2546 2014-10-30 Glenn Morris <rgm@gnu.org>
2548 * startup.el (command-line): Remove pointless attempt to avoid
2549 statting the file-system (which expand-file-name doesn't do).
2551 2014-10-30 Daniel Colascione <dancol@dancol.org>
2553 Add "enum class" support to C++ mode.
2554 * progmodes/cc-langs.el (c-after-brace-list-decl-kwds)
2555 (c-after-brace-list-key): New language consts/variables.
2556 * progmodes/cc-engine.el (c-looking-at-decl-block):
2557 Exclude spurious match of "enum struct" from decl-block recognition.
2558 (c-backward-colon-prefixed-type): New function.
2559 (c-backward-over-enum-header): Call above function to extend
2560 recognition of enum structure.
2562 2014-10-30 Stefan Monnier <monnier@iro.umontreal.ca>
2564 * progmodes/cc-defs.el (c--macroexpand-all): New function (bug#18845).
2566 * progmodes/cc-langs.el (c-make-init-lang-vars-fun): Use it.
2568 2014-10-30 Eli Zaretskii <eliz@gnu.org>
2570 * progmodes/compile.el (compilation-start):
2571 If compilation-scroll-output is non-nil, don't force window-start of
2572 the compilation buffer to be at beginning of buffer. (Bug#18874)
2574 * startup.el (fancy-about-text): Read the entire tutorial, not
2575 just its first 256 bytes. (Bug#18760)
2577 2014-10-30 Stefan Monnier <monnier@iro.umontreal.ca>
2579 * emacs-lisp/bytecomp.el: Require cl-extra (bug#18804).
2580 * emacs-lisp/cl-extra.el: Add missing provide.
2582 * emacs-lisp/bytecomp.el (byte-compile-and-folded): Optimize case where
2583 all args are copyable (bug#18767).
2584 (=, <, >, <=, >=): Re-enable the optimization.
2586 2014-10-29 Glenn Morris <rgm@gnu.org>
2588 * net/rcirc.el (rcirc-fill-column): Unbump :version. Mark :risky.
2590 * version.el (emacs-bzr-version, emacs-bzr-get-version):
2591 Revert 2014-10-26 change.
2593 2014-10-29 Paul Eggert <eggert@cs.ucla.edu>
2595 Simplify use of current-time and friends.
2596 * allout-widgets.el (allout-widgets-hook-error-handler):
2597 * calendar/appt.el (appt-display-message):
2598 * calendar/icalendar.el (icalendar--convert-float-to-ical):
2599 * calendar/timeclock.el (timeclock-in, timeclock-when-to-leave)
2600 (timeclock-last-period, timeclock-day-base):
2601 * eshell/em-ls.el (eshell-ls-file):
2602 * eshell/esh-util.el (eshell-parse-ange-ls):
2603 * generic-x.el (named-database-print-serial):
2604 * net/newst-backend.el (newsticker--get-news-by-url-callback)
2605 (newsticker-get-news, newsticker--sentinel-work)
2606 (newsticker--image-get, newsticker--image-sentinel):
2607 * net/tramp-sh.el (tramp-get-remote-touch):
2608 * progmodes/opascal.el (opascal-debug-log):
2609 * textmodes/remember.el (remember-mail-date)
2610 (remember-store-in-files):
2611 * vc/vc-annotate.el (vc-annotate-display-autoscale)
2612 (vc-default-annotate-current-time):
2613 * vc/vc-bzr.el (vc-bzr-shelve-snapshot):
2614 * vc/vc-cvs.el (vc-cvs-annotate-current-time):
2615 * vc/vc-rcs.el (vc-rcs-annotate-current-time):
2616 Omit unnecessary call to current-time.
2617 * calendar/time-date.el (time-to-seconds) [!float-time]:
2618 * vc/vc-annotate.el (vc-annotate-convert-time):
2619 Use current time if arg is nil, to be compatible with float-time.
2620 (time-date--day-in-year): New function, with most of the guts of
2621 the old time-to-day-in-year.
2622 (time-to-day-in-year): Use it.
2623 (time-to-days): Use it, to avoid decoding the same time stamp twice.
2624 * calendar/timeclock.el (timeclock-time-to-date):
2625 Arg is now optional, like current-time-string.
2626 (timeclock-update-mode-line):
2627 Don't call current-time twice to get the current time stamp,
2628 as this can lead to inconsistent results.
2629 * completion.el (cmpl-hours-since-origin):
2630 * ido.el (ido-time-stamp):
2631 * vc/vc-annotate.el (vc-annotate-convert-time):
2632 Simplify by using float-time.
2633 * completion.el (save-completions-to-file):
2634 Rename local var to avoid confusion.
2635 * net/rcirc.el (rcirc-float-time): Simplify to an alias because
2636 time-to-seconds now behaves like float-time with respect to nil arg.
2637 * subr.el (progress-reporter-do-update):
2638 Don't call float-time unless needed.
2640 2014-10-29 Leo Liu <sdl.web@gmail.com>
2642 * net/rcirc.el (rcirc-fill-column): Use function.
2643 (rcirc-markup-fill): Remove adjustment.
2645 2014-10-28 Christopher Schmidt <ch@ristopher.com>
2647 * calc/calc.el (quick-calc):
2648 * calc/calc-aent.el (calc-do-quick-calc): New argument INSERT.
2650 2014-10-28 Sam Steingold <sds@gnu.org>
2652 * net/rcirc.el (rcirc-fill-column): Allow any symbolic value for
2653 the sake of `window-body-width' (in addition to `frame-width').
2655 2014-10-26 Eric S. Raymond <esr@thyrsus.com>
2657 * version.el: Fix some fallback values to conform to the actual
2660 2014-10-25 Eric S. Raymond <esr@thyrsus.com>
2662 * Makefile.in: Change some production names so they're neutral
2663 about the repository type.
2665 2014-10-25 Michael Albinus <michael.albinus@gmx.de>
2667 * net/tramp-gvfs.el (tramp-gvfs-methods-mounttracker)
2668 (tramp-gvfs-mountlocation-signature): Check `tramp-gvfs-enabled'
2669 during initialization. (Bug#18774)
2671 2014-10-25 Vincent Belaïche <vincentb1@users.sourceforge.net>
2673 * ses.el (macroexp): Add require for this package, so that
2674 function `ses--cell' gets macroexp-quote --- this change was
2675 supposed to be in my previous commit, but left out by mistake.
2676 (ses--cell): Do not make formula a macroexp-quote of value when
2677 value, not formula, is *skip*.
2679 2014-10-24 Vincent Belaïche <vincentb1@users.sourceforge.net>
2681 * ses.el (macroexp): Add require for this package, so that function
2682 `ses--cell gets macroexp-quote.
2683 (ses--cell): Makes formula a macroexp-quote of value when formula
2684 is nil. The rationale of this changr is to allow in the future
2685 shorter SES files, e.g. we could have only `(ses-cell A1 1.0)'
2686 instead of `(ses-cell A1 1.0 1.0 nil REFLIST)'. In such a case
2687 reference list REFLIST would be re-computed after load --- thus
2688 trading off load time against file size.
2690 * emacs-lisp/package.el (package--alist-to-plist-args):
2691 Use macroexp-quote instead of a lambda expression which has the same
2692 content as macroexp-quote.
2693 (macroexp): Add require for this package, so that function
2694 `package--alist-to-plist-args' gets macroexp-quote.
2696 * emacs-lisp/macroexp.el (macroexp-quote): New defun.
2698 2014-10-24 Stefan Monnier <monnier@iro.umontreal.ca>
2700 * term/ns-win.el (ns-store-cut-buffer-internal)
2701 (ns-copy-including-secondary): Use gui-set-selection (bug#18816).
2703 2014-10-24 Martin Rudalics <rudalics@gmx.at>
2705 * mouse.el (mouse-drag-line): Don't use mouse-pixel-position.
2706 Calculate increment from last position instead of window edge.
2707 Add right- and bottom-divider bindings to transient map.
2709 2014-10-23 Stefan Monnier <monnier@iro.umontreal.ca>
2711 * emacs-lisp/cl-macs.el (cl-defstruct): Define an internal predicate
2712 even if :predicate was nil, for the benefit of typep.
2713 Record the name of the predicate for typep's use.
2714 (cl--make-type-test): Use pcase. Obey new
2715 cl-deftype-satisfies property.
2717 * epg.el: Use cl-defstruct.
2718 (epg-make-data-from-file, epg-make-data-from-string, epg-data-file)
2719 (epg-data-string): Define via cl-defstruct.
2720 (epg--gv-nreverse): New macro.
2721 (epg-context--make): New constructor (provided vi cl-defstruct).
2722 (epg-make-context): Rewrite using it.
2723 (epg-context-protocol, epg-context-program)
2724 (epg-context-home-directory, epg-context-armor, epg-context-textmode)
2725 (epg-context-include-certs, epg-context-cipher-algorithm)
2726 (epg-context-digest-algorithm, epg-context-compress-algorithm)
2727 (epg-context-passphrase-callback, epg-context-progress-callback)
2728 (epg-context-signers, epg-context-sig-notations, epg-context-process)
2729 (epg-context-output-file, epg-context-result, epg-context-operation)
2730 (epg-context-pinentry-mode): Define using cl-defstruct.
2731 (epg-context-set-protocol, epg-context-set-program)
2732 (epg-context-set-include-certs, epg-context-set-cipher-algorithm)
2733 (epg-context-set-digest-algorithm)
2734 (epg-context-set-sig-notations, epg-context-set-process)
2735 (epg-context-set-output-file, epg-context-set-result)
2736 (epg-context-set-operation, epg-context-set-pinentry-mode)
2737 (epg-context-set-compress-algorithm): Remove. Use setf instead.
2738 (epg-context-set-armor, epg-context-set-textmode)
2739 (epg-context-set-signers): Redefine using setf
2740 and declare as obsolete.
2741 (epg-context-set-passphrase-callback)
2742 (epg-context-set-progress-callback): Use setf.
2743 (epg-signature-notations): Rename from epg-sig-notations.
2744 (epg-make-signature, epg-signature-status, epg-signature-key-id)
2745 (epg-signature-validity, epg-signature-fingerprint)
2746 (epg-signature-creation-time, epg-signature-expiration-time)
2747 (epg-signature-pubkey-algorithm, epg-signature-digest-algorithm)
2748 (epg-signature-class, epg-signature-version): Define vi cl-defstruct.
2749 (epg-signature-set-status, epg-signature-set-key-id)
2750 (epg-signature-set-validity, epg-signature-set-fingerprint)
2751 (epg-signature-set-creation-time, epg-signature-set-expiration-time)
2752 (epg-signature-set-pubkey-algorithm)
2753 (epg-signature-set-digest-algorithm, epg-signature-set-class)
2754 (epg-signature-set-version, epg-signature-set-notations): Remove.
2756 (epg-make-new-signature, epg-new-signature-type)
2757 (epg-new-signature-pubkey-algorithm)
2758 (epg-new-signature-digest-algorithm, epg-new-signature-class)
2759 (epg-new-signature-creation-time, epg-new-signature-fingerprint):
2760 Define using cl-defstruct.
2761 (epg-make-key, epg-key-owner-trust, epg-key-sub-key-list)
2762 (epg-key-user-id-list): Define using cl-defstruct.
2763 (epg-key-set-sub-key-list, epg-key-set-user-id-list): Remove.
2765 (epg-make-sub-key, epg-sub-key-validity, epg-sub-key-capability)
2766 (epg-sub-key-secret-p, epg-sub-key-algorithm, epg-sub-key-length)
2767 (epg-sub-key-id, epg-sub-key-creation-time)
2768 (epg-sub-key-expiration-time, epg-sub-key-fingerprint): Define using
2770 (epg-sub-key-set-fingerprint): Remove. Use setf instead.
2771 (epg-make-user-id, epg-user-id-validity, epg-user-id-string)
2772 (epg-user-id-signature-list): Define using cl-defstruct.
2773 (epg-user-id-set-signature-list): Remove. Use setf instead.
2774 (epg-make-key-signature, epg-key-signature-validity)
2775 (epg-key-signature-pubkey-algorithm, epg-key-signature-key-id)
2776 (epg-key-signature-creation-time, epg-key-signature-expiration-time)
2777 (epg-key-signature-user-id, epg-key-signature-class)
2778 (epg-key-signature-exportable-p): Define using cl-defstruct.
2779 (epg-make-sig-notation, epg-sig-notation-name)
2780 (epg-sig-notation-value, epg-sig-notation-human-readable)
2781 (epg-sig-notation-critical): Define using cl-defstruct.
2782 (epg-sig-notation-set-value): Remove. Use setf instead.
2783 (epg-make-import-status, epg-import-status-fingerprint)
2784 (epg-import-status-reason, epg-import-status-new)
2785 (epg-import-status-user-id, epg-import-status-signature)
2786 (epg-import-status-sub-key, epg-import-status-secret): Define using
2788 (epg-make-import-result, epg-import-result-considered)
2789 (epg-import-result-no-user-id, epg-import-result-imported)
2790 (epg-import-result-imported-rsa, epg-import-result-unchanged)
2791 (epg-import-result-new-user-ids, epg-import-result-new-sub-keys)
2792 (epg-import-result-new-signatures, epg-import-result-new-revocations)
2793 (epg-import-result-secret-read, epg-import-result-secret-imported)
2794 (epg-import-result-secret-unchanged, epg-import-result-not-imported)
2795 (epg-import-result-imports): Define using cl-defstruct.
2797 * emacs-lisp/package.el: Require EPG during macroexpansion.
2798 (package--check-signature, package-import-keyring): Use setf instead of
2799 epg-context-set-home-directory.
2801 2014-10-23 Stefan Monnier <monnier@iro.umontreal.ca>
2803 * emacs-lisp/bytecomp.el (byte-compile--use-old-handlers): Change default.
2805 2014-10-23 Leo Liu <sdl.web@gmail.com>
2807 * progmodes/cfengine.el (cfengine3-defun-full-re): New var.
2808 (cfengine3-create-imenu-index): Use it and use ` ' for separation.
2809 (cfengine3-current-defun): New function.
2810 (cfengine3-mode): Set add-log-current-defun-function.
2812 2014-10-23 Stefan Monnier <monnier@iro.umontreal.ca>
2814 * select.el: Use lexical-binding.
2815 (gui-set-selection): Provide an implementation for non-GUI frames
2817 * term/x-win.el: Use lexical-binding.
2818 (x-clipboard-yank): Fix up missed renamings.
2819 * term/w32-win.el (libgif-version, libjpeg-version): Silence compiler.
2820 (w32--set-selection): Fix up var names.
2821 * term/pc-win.el: Use lexical-binding.
2822 (w16-selection-exists-p): Silence compiler warning.
2823 (w16-selection-owner-p): Fix up missed renamings.
2825 * emacs-lisp/bytecomp.el (byte-compile-form): Remove left-over debug.
2827 * frame.el (frame-notice-user-settings): Fix excessive quoting.
2829 2014-10-22 Tassilo Horn <tsdh@gnu.org>
2831 * doc-view.el (doc-view-open-text): View the document's plain text
2832 in the current buffer instead of a new one.
2833 (doc-view-toggle-display): Handle the case where the current
2834 buffer contains the plain text contents of the document.
2835 (doc-view-initiate-display): Don't switch to fallback mode if the
2836 user wants to view the doc's plain text.
2837 (doc-view-set-doc-type): Use assoc-string instead of
2840 2014-10-21 Stefan Monnier <monnier@iro.umontreal.ca>
2842 * subr.el (read-key): Fix clicks on the mode-line.
2843 (set-transient-map): Return exit function.
2845 * mouse.el (mouse-drag-line): Use set-transient-map (bug#18015).
2846 (mouse--down-1-maybe-follows-link): Remove unused var `this-event'.
2847 (mouse-yank-secondary): Use gui-get-selection.
2848 (mouse--down-1-maybe-follows-link): Use read-key.
2850 * xt-mouse.el: Add `event-kind' property on the fly from
2851 xterm-mouse-translate-1 rather than statically at the outset.
2853 2014-10-21 Daniel Colascione <dancol@dancol.org>
2855 * vc/vc-dispatcher.el (vc-resynch-window): Tell view-mode not to
2856 change window configuration when we turn it off.
2858 2014-10-21 Stefan Monnier <monnier@iro.umontreal.ca>
2860 Get rid of backend-dependent selection-handling functions for kill/yank
2861 and make it generic instead by relying on the lower-level selection
2862 management functions.
2864 * select.el (select-enable-clipboard): Rename from
2865 gui-select-enable-clipboard.
2866 (select-enable-primary): Move from x-win.el and rename from
2867 x-select-enable-primary.
2868 (gui-last-selected-text): Remove.
2869 (gui--last-selected-text-clipboard, gui--last-selected-text-primary):
2871 (gui-select-text): Rewrite, based on x-win.el's old x-select-text.
2872 (gui-select-text-alist, gui-selection-value-alist): Remove.
2873 (x-select-request-type): Move from x-win.el.
2874 (gui--selection-value-internal): New function, taken from x-win's
2875 x-selection-value-internal.
2876 (gui-selection-value): Rewrite, based on x-win.el's old x-selection-value.
2877 (gui-set-selection-alist): Rename from gui-own-selection-alist and
2878 extend it to handle a nil value as a "disown" request.
2879 (gui-disown-selection-alist): Remove.
2880 (xselect-convert-to-delete): Adjust accordingly.
2881 (gui-set-selection): Simplify accordingly as well. Use dotimes.
2883 * term/x-win.el (x-last-selected-text-primary)
2884 (x-select-enable-primary): Remove (moved to select.el).
2885 (x-select-request-type): Move to select.el.
2886 (x-selection-value-internal, x--selection-value): Remove functions.
2887 (gui-selection-value, gui-select-text): Remove moethods.
2888 (gui-set-selection): Merge own and disown methods.
2890 * term/w32-win.el (w32--select-text, w32--get-selection-value):
2891 Delete function (move functionality into w32--set-selection and
2892 w32--get-selection).
2893 (gui-select-text, gui-selection-value): Don't define methods.
2894 (w32--set-selection, w32--get-selection, w32--selection-owner-p):
2896 (gui-get-selection, gui-selection-owner-p, gui-selection-exists-p):
2898 (gui-selection-exists-p): Adjust to new name of C primitive.
2900 * term/pc-win.el (w16-get-selection-value): Add dummy argument and drop
2901 test of gui-select-enable-clipboard, to make it usable as
2902 a gui-get-selection method.
2903 (gui-selection-exists-p): Adjust to new name of C primitive.
2904 (gui-set-selection): Merge own and disown methods.
2905 (gui-select-text, gui-selection-value): Delete methods.
2906 (w16--select-text): Delete function.
2908 * term/ns-win.el (ns-get-pasteboard, ns-set-pasteboard)
2909 (ns-selection-value): Remove functions.
2910 (gui-select-text, gui-selection-value): Don't define method any more.
2911 (gui-set-selection): Merge the old own and disown methods.
2912 (gui-selection-exists-p, gui-get-selection): Adjust to new name of
2913 underlying C primitive.
2915 * startup.el (command-line): Adjust now that `gui-method' expects nil
2918 * frame.el (gui-method): Use window-system rather than framep.
2919 (gui-method-declare): The tty case is now nil rather than t.
2920 (make-frame): Adjust accordingly.
2922 2014-10-21 Stefan Monnier <monnier@iro.umontreal.ca>
2924 * net/newst-reader.el (newsticker--image-read): Simplify.
2925 (newsticker--icon-read): Use dolist and fix free var error.
2927 * imenu.el (imenu--menubar-keymap): New var.
2928 (imenu-add-to-menubar): Set it to remember the keymap we used.
2929 (imenu-update-menubar): Use it instead of asking lookup-key.
2931 * obsolete/cc-compat.el: Make obsolete (bug#18561).
2933 * epg-config.el (epg-gpg-program): Don't use absolute names by default.
2935 * emacs-lisp/bytecomp.el (=, <, >, <=, >=): Don't optimize multi-arg
2938 2014-10-21 Glenn Morris <rgm@gnu.org>
2940 * Merge in all changes up to version 24.4 release.
2942 2014-10-20 Stefan Monnier <monnier@iro.umontreal.ca>
2944 * emacs-lisp/bytecomp.el (=, <, >, <=, >=): Don't optimize multi-arg
2947 2014-10-20 Glenn Morris <rgm@gnu.org>
2949 * Merge in all changes up to 24.4 release.
2951 2014-10-20 Ulf Jasper <ulf.jasper@web.de>
2953 * net/newst-backend.el
2954 (newsticker--image-download-by-url-callback): Make this function
2955 actually work: Check status properly, then save image.
2957 2014-10-20 Stefan Monnier <monnier@iro.umontreal.ca>
2959 * mouse.el (mouse--down-1-maybe-follows-link): Remove unused var
2961 (mouse-drag-line): Unless there's no actual mouse, use the event's
2964 2014-10-20 Stefan Monnier <monnier@iro.umontreal.ca>
2966 * textmodes/css-mode.el (scss-mode): New major-mode.
2967 (css-mode-syntax-table): Use d style comment, to ease the scss case.
2968 (css-ident-re): Allow things like @-moz-keyframes.
2969 (scss--hash-re): New const.
2970 (css--font-lock-keywords): New function, extracted from
2971 css-font-lock-keywords.
2973 2014-10-19 Ulf Jasper <ulf.jasper@web.de>
2975 * net/newst-backend.el: Require url-parse.
2976 (newsticker--get-news-by-wget): Store feed name as process property.
2977 (newsticker--sentinel): Read feed name from process property.
2978 (newsticker--sentinel-work): Rename argument name to feed-name.
2979 Rename variable imageurl to image-url. Pick icon url from Atom
2980 1.0 data. Launch download of feed icon.
2981 (newsticker--get-icon-url-atom-1.0): New.
2983 (newsticker--unxml-node)
2984 (newsticker--unxml-attribute): Documentation.
2985 (newsticker--icons-dir): New.
2986 (newsticker--image-get): New arguments FILENAME and DIRECTORY.
2987 Use `url-retrieve' if `newsticker-retrieval-method' is 'intern.
2988 (newsticker--image-download-by-wget): New. Use process properties
2989 for storing informations.
2990 (newsticker--image-sentinel): Read informations from process properties.
2991 (newsticker--image-save)
2992 (newsticker--image-remove)
2993 (newsticker--image-download-by-url)
2994 (newsticker--image-download-by-url-callback): New.
2995 (newsticker-opml-export): Handle url list entries containing a
2996 function instead of an url string.
2998 * net/newst-reader.el (newsticker-html-renderer): Whitespace.
2999 (newsticker--print-extra-elements)
3000 (newsticker--do-print-extra-element):
3001 Documentation (newsticker--image-read): Optionally limit image height.
3002 Use imagemagick if possible.
3003 (newsticker--icon-read): New.
3005 * net/newst-treeview.el (newsticker--treeview-item-show): Limit height of feed logo.
3006 (newsticker--treeview-tree-expand): Use feed icons in treeview.
3007 (newsticker--tree-widget-icon-create): New. Set the tree widget icon.
3008 (newsticker--tree-widget-leaf-icon): Use feed icon.
3010 2014-10-19 Stefan Monnier <monnier@iro.umontreal.ca>
3012 * emacs-lisp/eieio-opt.el (eieio-lambda-arglist): Remove.
3013 Use help-function-arglist instead.
3015 * emacs-lisp/eieio-core.el (eieio-compiled-function-arglist): Remove.
3016 (eieio--with-scoped-class): Use `declare'.
3017 (eieio-defclass): Remove compatibility code.
3018 (no-method-definition, no-next-method, inconsistent-class-hierarchy)
3019 (invalid-slot-type, unbound-slot, invalid-slot-name): Use define-error.
3021 2014-10-18 Jan Djärv <jan.h.d@swipnet.se>
3023 * cus-start.el (x-gtk-whole-detached-tool-bar): Remove.
3025 * term/x-win.el (x-gtk-stock-map): Add icon names suggested as
3026 replacements to stock names before stock names in a list.
3027 Cdr may be a list, each name is tried in turn until one is found.
3029 2014-10-18 Alan Mackenzie <acm@muc.de>
3031 Check that a "macro" found near point-min isn't a ## operator.
3033 * progmodes/cc-engine.el (c-macro-is-genuine-p): New function.
3034 (c-beginning-of-macro): Use the above new function.
3036 2014-10-18 Teodor Zlatanov <tzz@lifelogs.com>
3038 * net/gnutls.el (gnutls-negotiate): Don't use cl-mapcan; pass
3039 correct data to `gnutls-boot' (Bug#18664).
3040 Reported by Toke Høiland-Jørgensen <toke@toke.dk>.
3042 2014-10-18 Michal Nazarewicz <mina86@mina86.com>
3044 * whitespace.el (whitespace-style, whitespace-big-indent)
3045 (whitespace-big-indent-regexp, whitespace-style-value-list)
3046 (whitespace-toggle-option-alist, whitespace-interactive-char)
3047 (whitespace-toggle-options)
3048 (global-whitespace-toggle-options, whitespace-help-text)
3049 (whitespace-style-face-p, whitespace-color-on): Add a 'big-indent
3050 style to `whitespace-mode' to indicate that the line indentation
3051 is too deep. By default, 32 SPACEs or four TABs are considered
3052 too many but `whitespace-big-indent-regexp' can be configured.
3054 2014-10-17 Michal Nazarewicz <mina86@mina86.com>
3056 * textmodes/tildify.el (tildify--pick-alist-entry): Rename from
3059 2014-10-17 Stefan Monnier <monnier@iro.umontreal.ca>
3061 * emacs-lisp/eieio.el: Use lexical-binding drop non-GV fallback.
3062 (defclass, defgeneric, defmethod): Add doc-string position.
3063 (with-slots): Require cl-lib.
3065 * emacs-lisp/eieio-core.el: Use lexical-binding and cl-lib.
3066 (list-of): New type.
3067 (eieio--typep): Remove.
3068 (eieio-perform-slot-validation): Use cl-typep instead.
3070 * emacs-lisp/eieio-base.el: Use lexical-binding and cl-lib.
3072 * emacs-lisp/cl-macs.el (cl--make-type-test): Avoid ((lambda ..) ..).
3074 2014-10-16 Alan Mackenzie <acm@muc.de>
3076 Trigger showing when point is in the "periphery" of a line or just
3078 * paren.el (show-paren-style, show-paren-delay)
3079 (show-paren-priority, show-paren-ring-bell-on-mismatch):
3080 Remove superfluous :group specifications.
3081 (show-paren-when-point-inside-paren)
3082 (show-paren-when-point-in-periphery): New customizable variables.
3083 (show-paren-highlight-openparen): Make into a defcustom.
3084 (show-paren--unescaped-p, show-paren--categorize-paren)
3085 (show-paren--locate-near-paren): New defuns.
3086 (show-paren--default): Refaactor and trigger on more paren
3088 (show-paren-function): Small consequential changes.
3090 2014-10-16 Tom Tromey <tom@tromey.com>
3092 * files.el (auto-mode-alist): Use javascript-mode for .jsm
3095 2014-10-16 Eli Zaretskii <eliz@gnu.org>
3097 * international/characters.el (bracket-type): Force pre-loading of
3100 2014-10-16 Alan Mackenzie <acm@muc.de>
3102 * cus-edit.el (custom-command-apply): Specify the return value in
3104 (Custom-reset-standard): Save custom-file (e.g. .emacs) only when
3105 custom-command-apply has returned non-nil.
3107 2014-10-15 Stefan Monnier <monnier@iro.umontreal.ca>
3109 * emacs-lisp/eldoc.el (global-eldoc-mode): Enable by default.
3110 Remove incorrect handling of eldoc-print-after-edit.
3111 (eldoc-message-commands, eldoc-last-data): Use defvar.
3112 * loadup.el (emacs-lisp/eldoc): Load it.
3114 * progmodes/m4-mode.el (m4-syntax-propertize): New var.
3116 (m4--quoted-p): New function.
3117 (m4-font-lock-keywords): Don't handle #..\n comments any more.
3118 (m4-mode-syntax-table): Use punctuation syntax (according to m4 manual)
3119 for most special characters.
3121 * progmodes/compile.el (compilation--previous-directory): Simplify.
3122 (compilation-next-error): Ensure the parse before we look at
3123 compilation-message property.
3125 2014-10-15 Eli Zaretskii <eliz@gnu.org>
3127 * simple.el (what-cursor-position):
3128 * descr-text.el (describe-char): Update to support the new bidi
3131 * emacs-lisp/tabulated-list.el (tabulated-list-mode):
3132 Force bidi-paragraph-direction to 'left-to-right'. This fixes
3133 buffer-menu display when the first buffer happens to start with
3136 2014-10-15 Stefan Monnier <monnier@iro.umontreal.ca>
3138 * progmodes/elisp-mode.el (elisp--local-variables-1):
3139 Handle quoted expressions (bug#18688).
3141 2014-10-14 Jérémy Compostella <jeremy.compostella@intel.com>
3142 Michael Albinus <michael.albinus@gmx.de>
3144 * net/tramp-sh.el (tramp-open-connection-setup-interactive-shell):
3145 Reduce the amount of set environment variable commands.
3147 2014-10-12 Fabián Ezequiel Gallina <fgallina@gnu.org>
3149 Fix import completion. (Bug#18582)
3150 * progmodes/python.el (python-shell-completion-get-completions):
3151 Fix import case regexp.
3153 2014-10-12 Stefan Monnier <monnier@iro.umontreal.ca>
3155 * progmodes/bat-mode.el (bat-font-lock-keywords): Fix \\<_ typo
3156 (bug#18622). Reported by Arni Magnusson <arnima@hafro.is>.
3157 * progmodes/prolog.el (prolog-electric--underscore): Same.
3159 2014-10-12 Michael Albinus <michael.albinus@gmx.de>
3161 * net/tramp-sh.el (tramp-get-remote-id): Check also for "gid".
3163 2014-10-11 Jan Djärv <jan.h.d@swipnet.se>
3165 * cus-start.el (all): Add missing ns and boolean to
3166 ns-use-fullscreen-animation.
3168 2014-10-11 Leo Liu <sdl.web@gmail.com>
3170 * progmodes/cfengine.el (cfengine3-defuns, cfengine3-vartypes):
3172 (cfengine3-create-imenu-index): New function.
3173 (cfengine3-mode): Use it for `imenu-create-index-function'.
3174 (cfengine-auto-mode): Improve and prefer cfengine3-mode when
3177 2014-10-11 Jan Djärv <jan.h.d@swipnet.se>
3179 * cus-start.el (all): Add ns-use-fullscreen-animation.
3181 2014-10-11 Glenn Morris <rgm@gnu.org>
3183 * calendar/diary-lib.el (diary-display-function):
3184 Drop support for deprecated nil and list forms.
3185 (diary-list-entries): Update for the above.
3186 * calendar/cal-x.el (calendar-dedicate-diary): Simplify accordingly.
3188 2014-10-10 Leo Liu <sdl.web@gmail.com>
3190 * window.el (temp-buffer-window-show): Make BUFFER a required arg.
3193 2014-10-10 Stefan Monnier <monnier@iro.umontreal.ca>
3195 * select.el (gui-selection-exists-p-alist): New method.
3196 * menu-bar.el (menu-bar-edit-menu, clipboard-yank):
3197 * simple.el (deactivate-mark): Use it.
3198 * term/x-win.el (gui-selection-exists-p):
3199 * term/w32-win.el (gui-selection-exists-p):
3200 * term/pc-win.el (gui-selection-exists-p):
3201 * term/ns-win.el (gui-selection-exists-p): Provide a backend instance.
3203 2014-10-10 Glenn Morris <rgm@gnu.org>
3205 * info.el (Info-fontify-maximum-menu-size): Bump to 400k. (Bug#16227)
3206 Fix :type. Allow t to mean no limit.
3207 (Info-fontify-node): Handle Info-fontify-maximum-menu-size = t.
3209 2014-10-09 Glenn Morris <rgm@gnu.org>
3211 * frame.el (display-monitor-attributes-list): Doc tweaks.
3213 2014-10-09 Eli Zaretskii <eliz@gnu.org>
3215 * faces.el (display-grayscale-p): Mention in the doc string that
3216 the argument can be either a display name or a frame.
3218 * frame.el (display-pixel-height, display-pixel-width)
3219 (display-mm-height, display-mm-width, display-backing-store)
3220 (display-save-under, display-planes, display-color-cells)
3221 (display-visual-class, display-monitor-attributes-list)
3222 (display-screens): Mention in the doc string that the argument can
3223 be either a display name or a frame. Improve the docs of the
3224 monitor attributes. (Bug#18636)
3226 2014-10-09 Martin Rudalics <rudalics@gmx.at>
3228 * term.el (term-window-width): Subtract 1 from the width when
3229 any fringe has zero width, not just the right fringe. (Bug#18601)
3231 2014-10-09 Stefan Monnier <monnier@iro.umontreal.ca>
3233 * frame.el (make-frame): Use t rather than nil for `w' (bug#18653).
3235 2014-10-08 Leo Liu <sdl.web@gmail.com>
3237 * emacs-lisp/cl-extra.el (cl-fresh-line): New function.
3239 2014-10-08 Glenn Morris <rgm@gnu.org>
3241 * calendar/cal-x.el (calendar-dedicate-diary):
3242 Drop support for recently deleted aliases.
3244 2014-10-08 Leo Liu <sdl.web@gmail.com>
3246 * progmodes/cfengine.el (cfengine3-make-syntax-cache):
3247 Always return a syntax. Replace call-process-shell-command with
3248 process-file. Ensure cfengine-mode-syntax-functions-regex is
3249 always set. Ensure cache when cfengine-cf-promises fails.
3252 2014-10-07 Glenn Morris <rgm@gnu.org>
3254 * font-lock.el (font-lock-fontify-buffer): Fix interactive-only markup.
3256 2014-10-07 Wilson Snyder <wsnyder@wsnyder.org>
3258 Sync with upstream verilog-mode revision c075a492.
3259 * progmodes/verilog-mode.el (verilog-mode-version): Bump.
3260 (verilog-menu): Add AUTOINSERTLAST.
3261 (verilog-no-indent-begin-re): When `verilog-indent-begin-after-if'
3262 is nil, fix indenting initial/final to match always statements, bug825.
3263 Reported by Tim Clapp.
3264 (verilog-extended-complete-re): Fix indentation of DPI-C imports,
3265 bug557. Reported by ZeDong Mao and Jason Forkey.
3266 (verilog-read-decls): Fix parsing typed interfaces.
3267 Fix AUTOINOUTMODPORT missing types. Reported by Stephan Bourduas.
3268 (verilog-auto-arg-ports): Fix verilog-auto-arg-format single.
3269 (verilog-auto-output-every): Add regexp to AUTOOUTPUTEVERY, bug793.
3270 Reported by Pierre-David Pfister.
3271 (verilog-auto-insert-lisp): Doc fix.
3272 (verilog-auto-insert-last, verilog-auto): Add AUTOINSERTLAST to
3273 allow post-AUTO user fixups, bug826. Reported by Dennis Muhlestein.
3274 (verilog-sk-ovm-class, verilog-sk-uvm-object)
3275 (verilog-sk-uvm-component): Fix missing string keyword in class
3276 skeletons, bug824. Reported by eldad faruhi.
3278 2014-10-06 Stefan Monnier <monnier@iro.umontreal.ca>
3280 * term/w32-win.el: Move all code from 32-common-fns.el here.
3281 (gui-select-text, gui-selection-value): Use w32 handlers in the w32
3282 console as well (bug#18629).
3283 * w32-common-fns.el: Remove.
3284 * loadup.el: Don't load w32-common-fns.el.
3285 * w32-fns.elc: Don't require w32-common-fns.
3287 * icomplete.el: Move Iswitchb autoload here. Much simpler.
3288 * obsolete/iswitchb.el (iswitchb-mode): Use normal autoload cookie.
3289 Remove redundant obsolescence thingy.
3290 * loadup.el: Don't load obsolete/loaddefs.el.
3291 * Makefile.in (obsolete-autoloads): Remove.
3292 (AUTOGENEL): Remove obsolete/loaddefs.el.
3294 2014-10-06 Glenn Morris <rgm@gnu.org>
3296 * Makefile.in (obsolete-autoloads): Write to a separate file,
3297 to workaround autoloads bug. (Bug#17407)
3298 (AUTOGENEL): Add obsolete/loaddefs.el.
3299 * loadup.el: Load obsolete/loaddefs.el if present.
3300 * subr.el (do-after-load-evaluation):
3301 Don't warn about obsolete/loaddefs.el.
3303 * menu-bar.el (menu-bar-games-menu): Remove landmark.
3304 It has zero relationship to a game.
3306 2014-10-06 Leo Liu <sdl.web@gmail.com>
3308 * imenu.el (imenu): Re-write for clarity.
3310 2014-10-06 Glenn Morris <rgm@gnu.org>
3312 Remove calendar code obsolete since at least version 23.1.
3313 * calendar/cal-bahai.el (calendar-absolute-from-bahai)
3314 (calendar-print-bahai-date, calendar-bahai-prompt-for-date)
3315 (calendar-goto-bahai-date, list-bahai-diary-entries)
3316 (mark-bahai-calendar-date-pattern, mark-bahai-diary-entries)
3317 (insert-bahai-diary-entry, insert-monthly-bahai-diary-entry)
3318 (insert-yearly-bahai-diary-entry):
3319 * calendar/cal-china.el (chinese-calendar-time-zone)
3320 (chinese-calendar-location-name)
3321 (chinese-calendar-daylight-time-offset)
3322 (chinese-calendar-standard-time-zone-name)
3323 (chinese-calendar-daylight-time-zone-name)
3324 (chinese-calendar-daylight-savings-starts)
3325 (chinese-calendar-daylight-savings-ends)
3326 (chinese-calendar-daylight-savings-starts-time)
3327 (chinese-calendar-daylight-savings-ends-time)
3328 (chinese-calendar-celestial-stem)
3329 (chinese-calendar-terrestrial-branch)
3330 (calendar-absolute-from-chinese, calendar-print-chinese-date)
3331 (calendar-goto-chinese-date):
3332 * calendar/cal-coptic.el (calendar-absolute-from-coptic)
3333 (calendar-print-coptic-date, coptic-prompt-for-date)
3334 (calendar-goto-coptic-date, calendar-absolute-from-ethiopic)
3335 (calendar-print-ethiopic-date, calendar-goto-ethiopic-date):
3336 * calendar/cal-french.el (calendar-absolute-from-french)
3337 (calendar-print-french-date, calendar-goto-french-date):
3338 * calendar/cal-hebrew.el (diary-sabbath-candles-minutes)
3339 (calendar-absolute-from-hebrew, calendar-print-hebrew-date)
3340 (hebrew-calendar-yahrzeit, calendar-goto-hebrew-date)
3341 (holiday-rosh-hashanah-etc, holiday-hanukkah)
3342 (holiday-passover-etc, holiday-tisha-b-av-etc)
3343 (list-hebrew-diary-entries, mark-hebrew-calendar-date-pattern)
3344 (mark-hebrew-diary-entries, insert-hebrew-diary-entry)
3345 (insert-monthly-hebrew-diary-entry)
3346 (insert-yearly-hebrew-diary-entry, list-yahrzeit-dates)
3347 (diary-omer, diary-yahrzeit, diary-rosh-hodesh, diary-parasha)
3348 (diary-sabbath-candles):
3349 * calendar/cal-islam.el (calendar-absolute-from-islamic)
3350 (calendar-print-islamic-date, calendar-goto-islamic-date)
3351 (list-islamic-diary-entries, mark-islamic-calendar-date-pattern)
3352 (mark-islamic-diary-entries, insert-islamic-diary-entry)
3353 (insert-monthly-islamic-diary-entry)
3354 (insert-yearly-islamic-diary-entry):
3355 * calendar/cal-iso.el (calendar-absolute-from-iso)
3356 (calendar-print-iso-date, calendar-iso-read-args)
3357 (calendar-goto-iso-date, calendar-goto-iso-week):
3358 * calendar/cal-julian.el (calendar-absolute-from-julian)
3359 (calendar-print-julian-date, calendar-goto-julian-date)
3360 (calendar-absolute-from-astro, calendar-print-astro-day-number)
3361 (calendar-goto-astro-day-number):
3362 * calendar/cal-mayan.el (calendar-print-mayan-date)
3363 (calendar-next-haab-date, calendar-previous-haab-date)
3364 (calendar-next-tzolkin-date, calendar-previous-tzolkin-date)
3365 (calendar-next-calendar-round-date)
3366 (calendar-previous-calendar-round-date)
3367 (calendar-absolute-from-mayan-long-count)
3368 (calendar-goto-mayan-long-count-date):
3369 * calendar/cal-move.el (scroll-calendar-left)
3370 (scroll-calendar-right, scroll-calendar-left-three-months)
3371 (scroll-calendar-right-three-months):
3372 * calendar/cal-persia.el (calendar-absolute-from-persian)
3373 (calendar-print-persian-date, persian-prompt-for-date)
3374 (calendar-goto-persian-date):
3375 * calendar/cal-x.el (calendar-after-frame-setup-hooks):
3376 * calendar/calendar.el (view-diary-entries-initially)
3377 (mark-diary-entries-in-calendar, calendar-today-face)
3378 (diary-face, holiday-face, view-calendar-holidays-initially)
3379 (mark-holidays-in-calendar, initial-calendar-window-hook)
3380 (today-visible-calendar-hook, today-invisible-calendar-hook)
3381 (hebrew-diary-entry-symbol, islamic-diary-entry-symbol)
3382 (bahai-diary-entry-symbol, american-date-diary-pattern)
3383 (european-date-diary-pattern, european-calendar-display-form)
3384 (american-calendar-display-form, holidays-in-diary-buffer)
3385 (all-hebrew-calendar-holidays, all-christian-calendar-holidays)
3386 (all-islamic-calendar-holidays, all-bahai-calendar-holidays)
3387 (fancy-diary-buffer, increment-calendar-month)
3388 (extract-calendar-month, extract-calendar-day)
3389 (extract-calendar-year, exit-calendar, calendar-date-is-legal-p)
3390 (mark-visible-calendar-date, calendar-version):
3391 * calendar/diary-lib.el (diary-button-face, sexp-diary-entry-symbol)
3392 (diary-display-hook, list-diary-entries-hook)
3393 (mark-diary-entries-hook, nongregorian-diary-listing-hook)
3394 (nongregorian-diary-marking-hook, print-diary-entries-hook)
3395 (abbreviated-calendar-year, number-of-diary-entries)
3396 (view-other-diary-entries, add-to-diary-list)
3397 (include-other-diary-files, simple-diary-display)
3398 (fancy-diary-display, print-diary-entries, mark-diary-entries)
3399 (mark-sexp-diary-entries, mark-included-diary-files)
3400 (mark-calendar-days-named, mark-calendar-month)
3401 (mark-calendar-date-pattern, sort-diary-entries)
3402 (list-sexp-diary-entries, make-diary-entry, insert-diary-entry)
3403 (insert-weekly-diary-entry, insert-monthly-diary-entry)
3404 (insert-yearly-diary-entry, insert-anniversary-diary-entry)
3405 (insert-block-diary-entry, insert-cyclic-diary-entry)
3406 (fancy-diary-font-lock-keywords, fancy-diary-display-mode):
3407 * calendar/holidays.el (general-holidays, oriental-holidays)
3408 (local-holidays, other-holidays, hebrew-holidays)
3409 (christian-holidays, islamic-holidays, bahai-holidays)
3410 (solar-holidays, list-calendar-holidays)
3411 (check-calendar-holidays, mark-calendar-holidays)
3412 (filter-visible-calendar-holidays):
3413 * calendar/lunar.el (calendar-phases-of-moon, phases-of-moon)
3414 (diary-phases-of-moon): Remove obsolete aliases.
3415 * calendar/cal-menu.el (cal-menu-load-hook): Remove obsolete hook.
3416 * calendar/cal-x.el (calendar-one-frame-setup)
3417 (calendar-only-one-frame-setup, calendar-two-frame-setup):
3418 Remove obsolete functions.
3419 (cal-x-load-hook): Remove obsolete hook.
3420 * calendar/calendar.el (european-calendar-style):
3421 Remove obsolete variable.
3422 (calendar-date-style): No longer consult european-calendar-style.
3423 * calendar/calendar.el (european-calendar, american-calendar):
3424 Remove obsolete commands.
3425 * calendar/calendar.el (calendar-for-loop): Remove obsolete macro.
3426 * calendar/diary-lib.el (diary-face): Remove obsolete variable.
3427 (diary-font-lock-date-forms, diary-fancy-font-lock-keywords):
3428 Use the face `diary' instead of the variable `diary-face'.
3429 * calendar/holidays.el (hebrew-holidays-1, hebrew-holidays-2)
3430 (hebrew-holidays-3, hebrew-holidays-4): Remove obsolete variables.
3431 * calendar/icalendar.el (icalendar--date-style): Remove function.
3432 Replace all uses with calendar-date-style.
3433 * textmodes/remember.el (calendar-date-style): Declare.
3434 (remember-diary-convert-entry):
3435 No longer consult european-calendar-style.
3437 2014-10-05 Leo Liu <sdl.web@gmail.com>
3439 * imenu.el (imenu-default-goto-function): Fix typo.
3441 2014-10-04 Thomas Fitzsimmons <fitzsim@fitzsim.org>
3443 * net/ntlm.el (ntlm-build-auth-request):
3444 Add NTLM2 Session support. (Bug#15603)
3446 2014-10-04 Glenn Morris <rgm@gnu.org>
3448 * apropos.el (apropos-symbols-internal):
3449 Avoid error with non-symbol properties. (Bug#18337#16)
3451 * startup.el (command-line):
3452 Handle altered user-emacs-directory in load-path warning. (Bug#18512)
3454 2014-10-04 Martin Rudalics <rudalics@gmx.at>
3456 * window.el (window-full-height-p): Make it behave correctly for
3458 (window-current-scroll-bars): Fix code.
3459 (fit-frame-to-buffer): Use window-scroll-bar-height instead of
3461 * frame.el (frame-current-scroll-bars): Fix doc-string.
3462 * scroll-bar.el (toggle-horizontal-scroll-bar): New command.
3464 2014-10-04 Mark Oteiza <mvoteiza@udel.edu> (tiny change)
3466 * files.el (auto-mode-alist): Use sh-mode for .zsh files. (Bug#18488)
3468 2014-10-04 Glenn Morris <rgm@gnu.org>
3470 * frame.el (frame-monitor-attributes)
3471 (display-monitor-attributes-list): Doc fixes.
3473 2014-10-04 Stefan Monnier <monnier@iro.umontreal.ca>
3475 Merge trivially safe differences from standalone CC-mode.
3476 * progmodes/cc-mode.el (c-initialize-cc-mode): Don't quote a symbol
3477 just to then pass it to `symbol-value'.
3478 (prog-mode): Provide fallback definition, if needed.
3479 * progmodes/cc-langs.el: Always load `cl'. Don't load `cl-lib'.
3480 Remove "cl-" prefix accordingly.
3481 * progmodes/cc-fonts.el (c-font-lock-invalid-string): Use integerp or
3482 characterp depending on the type of characters.
3483 (c-font-lock-enum-tail): Remove unused var `start'.
3484 * progmodes/cc-engine.el: Load CL at compile-time.
3485 (c-declare-lang-variables): Use mapcan.
3486 (c-append-to-state-cache): Remove unused var `ce+1'.
3487 (c-parse-state-state): Make buffer-local.
3488 (c-ssb-lit-begin): Remove unused var `pps-end-pos'.
3489 (c-just-after-func-arglist-p): Remove unused var `end'.
3490 * progmodes/cc-defs.el: Load cc-fix if delete-dups is undefined.
3491 (c-<-as-paren-syntax, c->-as-paren-syntax): Move definition earlier.
3492 (c-make-keywords-re): Use delete-dups.
3493 (c-get-current-file): Avoid file-name-base.
3494 * progmodes/cc-cmds.el (c-electric-lt-gt): Remove unused var
3495 `close-paren-inserted'.
3496 * progmodes/cc-awk.el (c-forward-sws): Remove unused declaration.
3498 * progmodes/python.el: Avoid building unneeded markers.
3499 (python-font-lock-keywords, python-indent-dedent-line)
3500 (python-fill-paren, python-shell-completion-complete-or-indent):
3501 Prefer point over point-marker.
3502 (inferior-python-mode): Remove redundant completion settings.
3504 2014-10-03 Dmitry Gutov <dgutov@yandex.ru>
3506 * vc/vc-svn.el (vc-svn-ignore-completion-table): Implement.
3507 (vc-svn-ignore): Use it. (Bug#18619)
3509 2014-10-03 Martin Rudalics <rudalics@gmx.at>
3511 * frame.el (toggle-frame-maximized, toggle-frame-fullscreen):
3512 In doc-string mention need to set `frame-resize-pixelwise'.
3514 2014-10-03 Stefan Monnier <monnier@iro.umontreal.ca>
3516 * vc/vc-svn.el (vc-svn-after-dir-status): Fix the non-remote regexp,
3517 similarly to Rogers's 2010-06-16 change for the remote case
3520 2014-10-03 Stefan Monnier <monnier@iro.umontreal.ca>
3522 New gui-selection-value consolidating x-selection-value.
3523 * select.el (gui-selection-value-alist): New method.
3524 (gui-selection-value): New function.
3525 (x-selection-value): Make it an obsolete alias.
3526 * simple.el (interprogram-paste-function): Default to
3527 gui-selection-value.
3528 * w32-common-fns.el (w32-get-selection-value): Simplify.
3529 (x-selection-value): Remove alias.
3530 (interprogram-paste-function): Don't set.
3531 (gui-selection-value): Define for w32.
3532 * term/x-win.el (gui-selection-value): Define for x.
3533 (x--selection-value): Rename from x--selection-value.
3534 (interprogram-paste-function): Don't set.
3535 * term/pc-win.el (w16-get-selection-value): Simplify.
3536 (msdos-initialize-window-system): Don't set
3537 interprogram-paste-function.
3538 (gui-selection-value): Define for pc.
3539 * term/ns-win.el (x-selection-value): Remove.
3540 (gui-selection-value): Define for ns, instead.
3541 * term/common-win.el (x-setup-function-keys): Don't set
3542 interprogram-paste-function.
3543 * obsolete/mouse-sel.el (mouse-sel-get-selection-function):
3544 Use gui-selection-value.
3546 2014-10-02 David Raynes <rayners@gmail.com> (tiny change)
3548 * term/ns-win.el: Add functions to ns frame, not x frame (bug#18614).
3550 2014-10-02 Stefan Monnier <monnier@iro.umontreal.ca>
3552 * obsolete/lucid.el (read-number): Remove, redundant.
3553 * obsolete/cl-compat.el (cl-floor, cl-ceiling, cl-round, cl-truncate):
3556 2014-10-02 Glenn Morris <rgm@gnu.org>
3558 * emacs-lisp/package.el (package-import-keyring):
3559 Create gnupg directory private. (Bug#17625#155)
3561 2014-10-02 Stefan Monnier <monnier@iro.umontreal.ca>
3563 * progmodes/python.el (python-shell-completion-get-completions):
3564 Use python-shell--prompt-calculated-input-regexp from the
3565 process buffer (bug#18582).
3566 Don't assume that `line' comes from the process buffer.
3568 2014-10-02 Stefan Monnier <monnier@iro.umontreal.ca>
3570 * frame.el: Use lexical-binding (bug#18598).
3571 (make-frame): Use t rather than nil for tty's window-system.
3572 * startup.el (command-line): Use gui-method.
3574 Consolidate management/ownership of selections.
3575 * select.el (gui-get-selection-alist): New method.
3576 (gui-get-selection): Use it. Rename from x-get-selection.
3577 (x-get-selection): Define as obsolete alias.
3578 (x-get-clipboard): Mark obsolete.
3579 (gui-get-primary-selection): New function.
3580 (x-get-selection-value): Mark obsolete.
3581 (gui-own-selection-alist, gui-disown-selection-alist)
3582 (gui-selection-owner-p-alist): New methods.
3583 (gui-set-selection): Use them. Rename from x-set-selection.
3584 (x-set-selection): Define as obsolete alias.
3585 (gui--valid-simple-selection-p): Rename from
3586 x-valid-simple-selection-p.
3587 * w32-common-fns.el (gui-own-selection, gui-disown-selection)
3588 (gui-selection-owner-p, gui-get-selection): Define for w32.
3589 (w32-get-selection-value): Rename from x-get-selection-value.
3590 Use the new gui-last-selected-text.
3591 * term/x-win.el (x-get-selection-value): Remove.
3592 (x-clipboard-yank): Declare obsolete.
3593 (gui-own-selection, gui-disown-selection, gui-get-selection)
3594 (gui-selection-owner-p): Define for x.
3595 * term/w32-win.el (w32-win-suspend-error): Rename from
3596 x-win-suspend-error.
3597 * term/pc-win.el (w16-get-selection-value): Rename from
3598 x-get-selection-value.
3599 (w16-selection-owner-p): Rename from x-selection-owner-p.
3600 (gui-own-selection, gui-disown-selection, gui-get-selection)
3601 (gui-selection-owner-p): Define for pc.
3602 (w16--select-text): New function.
3603 * term/ns-win.el (gui-own-selection, gui-disown-selection)
3604 (gui-get-selection, gui-selection-owner-p): Define for ns.
3605 * term.el (term-mouse-paste):
3606 * mouse.el (mouse-yank-primary): Use gui-get-primary-selection.
3608 2014-10-02 H. Dieter Wilhelm <dieter@duenenhof-wilhelm.de>
3610 * calc/calc-help.el (calc-describe-thing): Quote strings
3611 which could look like regexps.
3613 2014-10-01 Stefan Monnier <monnier@iro.umontreal.ca>
3615 Consolidate x-select-text.
3616 * frame.el (gui-method, gui-method-define, gui-method-declare)
3617 (gui-call): New macros.
3618 (gui-method--name): New function.
3619 (frame-creation-function-alist): Use gui-method-declare.
3620 (make-frame): Use gui-method.
3621 * select.el (gui-select-enable-clipboard): Rename from
3622 x-select-enable-clipboard and move here.
3623 (x-select-enable-clipboard): Define as obsolete alias.
3624 (gui-last-selected-text): New var, to replace x-last-selected-text.
3625 (gui-select-text): New GUI method.
3626 (gui-select-text): New function.
3627 (x-select-text): Define as obsolete alias.
3628 * term/common-win.el (x-select-enable-clipboard, x-select-text):
3630 * simple.el (interprogram-cut-function): Change default to
3632 (interprogram-paste-function): Change default to `ignore'.
3633 * w32-common-fns.el (interprogram-cut-function): Don't modify.
3634 * term/x-win.el (interprogram-cut-function): Don't modify.
3635 (gui-select-text): Add method for x.
3636 * term/w32-win.el (gui-select-text): Add method for w32.
3637 * term/pc-win.el (x-last-selected-text): Remove, use
3638 gui-last-selected-text instead.
3639 (msdos-initialize-window-system): Don't set interprogram-cut-function.
3640 (gui-select-text): Add method for pc.
3641 * term/ns-win.el (ns-last-selected-text): Remove, use
3642 gui-last-selected-text instead.
3643 (gui-select-text): Add method for ns.
3644 (x-setup-function-keys): Don't change interprogram-cut-function.
3645 * loadup.el ("startup"): Load after "frame".
3646 * subr.el (package--builtin-versions, package--description-file):
3647 Move from startup.el.
3648 * startup.el (package--builtin-versions, package--description-file):
3650 (handle-args-function-alist, window-system-initialization-alist):
3651 Use gui-method-declare.
3652 (command-line): Use gui-method.
3654 2014-10-01 Stefan Monnier <monnier@iro.umontreal.ca>
3656 * subr.el (alist-get): New accessor.
3657 * emacs-lisp/gv.el (alist-get): Provide expander.
3658 * winner.el (winner-remember):
3659 * tempo.el (tempo-use-tag-list):
3660 * progmodes/gud.el (minor-mode-map-alist):
3661 * international/mule-cmds.el (define-char-code-property):
3662 * frameset.el (frameset-filter-params):
3663 * files.el (dir-locals-set-class-variables):
3664 * register.el (get-register, set-register):
3665 * calc/calc-yank.el (calc-set-register): Use it.
3666 * ps-print.el (ps-get, ps-put, ps-del): Mark as obsolete.
3667 * tooltip.el (tooltip-set-param): Mark as obsolete.
3668 (tooltip-show): Use alist-get instead.
3669 * ses.el (ses--alist-get): Remove. Use alist-get instead.
3671 2014-10-01 Ulf Jasper <ulf.jasper@web.de>
3673 * net/newst-backend.el: Remove Time-stamp. Rename variable
3674 `newsticker--download-logos' to `newsticker-download-logos' and
3675 make it customizable.
3676 (newsticker--sentinel-work): Move xml-workarounds to function
3677 `newsticker--do-xml-workarounds', call unless libxml-parser is
3678 used. Allow single quote in regexp for encoding.
3679 Use libxml-parser if available, else fall back to `xml-parse-region'.
3680 Take care of possibly missing namespace prefixes (like "RDF"
3681 instead of "rdf:RDF") when checking xml nodes and attributes (as
3682 libxml correctly removes the prefixes). Always use Atom 1.0 as
3683 fallback feed type. Rename `newsticker--download-logos' to
3684 `newsticker-download-logos'
3685 (newsticker--unxml, newsticker--unxml-node)
3686 (newsticker--unxml-attribute): New.
3687 (newsticker--parse-atom-1.0): Call `unxml' in case that embedded
3688 HTML code has become part of the xml parse tree.
3689 (newsticker--parse-rss-1.0, newsticker--parse-rss-2.0): Take care
3690 of possibly missing namespace prefixes.
3691 (newsticker--parse-generic-items): Code formatting. Typo.
3692 (newsticker--images-dir): Add trailing slash.
3693 (newsticker--image-get): Fix error message.
3695 * net/newst-plainview.el: Remove Time-stamp.
3697 * net/newst-reader.el: Remove Time-stamp.
3698 (newsticker-download-logos): Rename variable
3699 `newsticker--download-logos' to `newsticker-download-logos' and
3700 make it customizable.
3701 (newsticker--print-extra-elements): Add optional parameter
3702 'htmlish for using html markup. Amend list of ignored elements.
3703 (newsticker--do-print-extra-element): Add parameter 'htmlish for
3706 * net/newst-ticker.el: Remove Time-stamp.
3708 * net/newst-treeview.el (newsticker--treeview-item-show): Use html
3709 for formatting extra elements.
3711 * net/newsticker.el: Remove Time-stamp, Version.
3712 (newsticker-version): Make obsolete.
3714 2014-09-30 Leonardo Nobrega <leonobr@gmail.com> (tiny change)
3716 * progmodes/python.el (python-fill-paren): Don't inf-loop at EOB
3719 2014-09-30 Stefan Monnier <monnier@iro.umontreal.ca>
3721 * emacs-lisp/package.el (package-check-signature): Default to nil if
3722 GPG is not available.
3723 (package-refresh-contents): Don't mess with the keyring if we won't
3724 check the signatures anyway.
3726 2014-09-30 Stefan Monnier <monnier@iro.umontreal.ca>
3728 * ses.el (ses--row, ses--col): New dyn-scoped vars, to replace row&col.
3729 (ses-center, ses-center-span): Use them.
3730 (ses-print-cell): Bind them while calling the printer.
3731 (row, col, maxrow, maxcol): Don't declare as dynamically scoped.
3732 (ses-dorange): Revert last change.
3733 (ses-calculate-cell): Don't bind row&col dynamically while evaluating
3735 (ses-set-cell): Avoid `eval'.
3736 (ses--time-check): Rename it from ses-time-check and turn it into
3739 * ses.el (ses-setup): Don't assume modifying the iteration var of
3740 dotimes affects the iteration (bug#18191).
3742 2014-09-30 Vincent Belaïche <vincentb1@users.sourceforge.net>
3744 * ses.el (ses-calculate-cell): Bind row and col dynamically to
3745 their values with 'cl-progv'.
3746 (ses-dorange): Bind row, col, maxrow and maxcol dynamically to
3747 their values with 'cl-progv', also use non-interned symbols for
3748 row, minrow, maxrow, mincol and maxcol.
3749 (maxrow maxcol): New defvar, to make the compiler happy.
3751 2014-09-30 Stefan Monnier <monnier@iro.umontreal.ca>
3753 * minibuffer.el (completion-at-point): Emit warning for ill-behaved
3754 completion functions.
3756 2014-09-29 Stefan Monnier <monnier@iro.umontreal.ca>
3758 * ses.el (ses--letref): Quote value before it gets re-evaluated.
3760 2014-09-28 Thien-Thi Nguyen <ttn@gnu.org>
3762 Font-lock `cl-flet*', too.
3763 * emacs-lisp/lisp-mode.el (lisp-cl-font-lock-keywords-2):
3764 Add "flet*" to intermediate var `cl-lib-kw'.
3766 2014-09-27 Stefan Monnier <monnier@iro.umontreal.ca>
3768 * epg-config.el (epg-gpg-program): Use the plain program names rather
3769 than their absolute file name.
3771 * subr.el (track-mouse): New macro.
3772 * emacs-lisp/cconv.el (cconv-convert, cconv-analyse-form):
3773 Remove track-mouse case.
3774 * emacs-lisp/bytecomp.el (byte-compile-track-mouse): Remove.
3776 2014-09-27 Leo Liu <sdl.web@gmail.com>
3778 * progmodes/elisp-mode.el (elisp--eldoc-last-data): Use defvar.
3780 * emacs-lisp/eldoc.el (eldoc-mode): Fix thinko.
3782 2014-09-27 Stefan Monnier <monnier@iro.umontreal.ca>
3784 * emacs-lisp/pcase.el (pcase--split-match, pcase--app-subst-match):
3785 Handle the case where `match' is :pcase--succeed or :pcase--fail
3788 Introduce global-eldoc-mode. Move Elisp-specific code to elisp-mode.el.
3789 * emacs-lisp/eldoc.el (global-eldoc-mode): New minor mode.
3790 (eldoc-schedule-timer): Obey it.
3791 (eldoc-documentation-function): Default to nil.
3792 (eldoc-mode): Don't enable if eldoc-documentation-function is not set.
3793 (eldoc-documentation-function-default, eldoc-get-fnsym-args-string)
3794 (eldoc-highlight-function-argument, eldoc-get-var-docstring)
3795 (eldoc-last-data-store, eldoc-docstring-first-line)
3796 (eldoc-docstring-format-sym-doc, eldoc-fnsym-in-current-sexp)
3797 (eldoc-beginning-of-sexp, eldoc-current-symbol)
3798 (eldoc-function-argstring): Move to elisp-mode.el.
3799 (eldoc-symbol-function): Remove, unused.
3800 * progmodes/elisp-mode.el: New file. Rename all "eldoc-*" to "elisp--*".
3801 (elisp-completion-at-point): Rename from lisp-completion-at-point.
3802 (elisp--preceding-sexp): Rename from preceding-sexp.
3803 * loadup.el: Load new file progmodes/elisp-mode.
3804 * ielm.el (inferior-emacs-lisp-mode): Set eldoc-documentation-function.
3805 * emacs-lisp/lisp.el (lisp--local-variables-1, lisp--local-variables)
3806 (lisp--local-variables-completion-table, lisp--expect-function-p)
3807 (lisp--form-quoted-p, lisp--company-doc-buffer)
3808 (lisp--company-doc-string, lisp--company-location)
3809 (lisp-completion-at-point): Move to elisp-mode.el.
3810 * emacs-lisp/lisp-mode.el (lisp--mode-syntax-table): New syntax-table,
3811 extracted from emacs-lisp-mode-syntax-table.
3812 (emacs-lisp-mode-abbrev-table, emacs-lisp-mode-syntax-table): Move to
3814 (lisp-imenu-generic-expression): Add comments to document what comes
3815 from which Lisp dialect.
3816 (emacs-lisp-mode-map, emacs-lisp-byte-compile)
3817 (emacs-lisp-byte-compile-and-load, emacs-lisp-mode-hook)
3818 (emacs-lisp-mode, emacs-list-byte-code-comment-re)
3819 (emacs-lisp-byte-code-comment)
3820 (emacs-lisp-byte-code-syntax-propertize, emacs-lisp-byte-code-mode)
3821 (lisp-interaction-mode-map, lisp-interaction-mode)
3822 (eval-print-last-sexp, last-sexp-setup-props)
3823 (last-sexp-toggle-display, prin1-char, preceding-sexp)
3824 (eval-last-sexp-1, eval-last-sexp-print-value)
3825 (eval-last-sexp-fake-value, eval-sexp-add-defvars, eval-last-sexp)
3826 (eval-defun-1, eval-defun-2, eval-defun): Move to elisp-mode.el.
3828 2014-09-26 Paul Eggert <eggert@cs.ucla.edu>
3830 * progmodes/grep.el (grep-regexp-alist): Use more-accurate regexp.
3831 Do not match file names that end in '/', as they cannot be 'grep'
3832 hits nowadays. This prevents confusion when 'grep -r' reports a
3833 match in a file whose basename is ':12345:'. Conversely, do not
3834 require exactly the same sequence of spaces and tabs after both
3835 colons, and allow spaces or tabs before the second colon, as per
3836 the POSIX spec for 'grep' output.
3838 2014-09-26 Leo Liu <sdl.web@gmail.com>
3840 Add cl-parse-integer based on parse-integer (Bug#18557)
3841 * calendar/parse-time.el (parse-time-digits): Remove.
3842 (digit-char-p, parse-integer) Moved to cl-lib.el.
3843 (parse-time-tokenize, parse-time-rules, parse-time-string):
3844 Use cl-parse-integer.
3846 * emacs-lisp/cl-extra.el (cl-parse-integer): New function.
3848 * emacs-lisp/cl-lib.el (cl-digit-char-table): New var.
3849 (cl-digit-char-p): New function.
3851 2014-09-25 Juri Linkov <juri@jurta.org>
3853 * vc/add-log.el (change-log-next-buffer): Don't create an empty
3854 buffer "ChangeLog" when the current buffer doesn't match ChangeLog.[0-9].
3855 Return the current buffer if no files match the default pattern
3856 ChangeLog.[0-9]. Signal "end of multi" when file is nil. (Bug#18547)
3858 2014-09-25 Stefan Monnier <monnier@iro.umontreal.ca>
3860 * net/tramp-sh.el (tramp-sh-handle-vc-registered): Don't modify
3861 the global vc-handled-backends (bug#18535).
3863 2014-09-24 Stefan Monnier <monnier@iro.umontreal.ca>
3865 * find-cmd.el (find-cmd): Use grep's `find-program' (bug#18518).
3866 Suggested by <lompik@voila.fr>.
3868 2014-09-24 Ulf Jasper <ulf.jasper@web.de>
3870 * net/newst-treeview.el (newsticker--treeview-do-get-node-by-id):
3871 Rename from `newsticker--treeview-do-get-node'.
3872 (newsticker--treeview-get-node-by-id):
3873 Rename from `newsticker--treeview-get-node'.
3874 (newsticker--treeview-buffer-init)
3875 (newsticker--treeview-buffer-init): Disable buffer undo.
3876 (newsticker--treeview-unfold-node): Adapt to modified
3877 `newsticker--group-find-parent-group'.
3878 (newsticker--group-do-find-group):
3879 Rename from `newsticker--group-do-find-group-for-feed'.
3880 Now works for both, groups and feeds.
3881 (newsticker--group-find-parent-group):
3882 Rename from `newsticker--group-find-group-for-feed'.
3883 Now works for both, groups and feeds.
3884 (newsticker--group-do-get-parent-group)
3885 (newsticker--group-get-parent-group): Remove.
3886 (newsticker-group-add-group): Change interactive prompts.
3887 (newsticker-group-add-group): Finally jump to added group.
3888 (newsticker-group-delete-group): Finally jump to current feed.
3889 (newsticker--group-do-rename-group, newsticker-group-rename-group)
3890 (newsticker--get-group-names, newsticker--group-names): New.
3891 (newsticker-group-move-feed): Finally jump to moved feed.
3892 (newsticker-group-shift-feed-down, newsticker-group-shift-feed-up)
3893 (newsticker-group-shift-group-down)
3894 (newsticker-group-shift-group-up, newsticker--group-shift): New.
3895 (newsticker-treeview-mode-map): New keybindings for new shift commands.
3897 * net/newst-backend.el (newsticker--item-list)
3898 (newsticker--item-position, newsticker--prev-message)
3899 (newsticker--scrollable-text): Move to newst-ticker.el.
3901 * net/newst-ticker.el (newsticker--item-list)
3902 (newsticker--item-position, newsticker--prev-message)
3903 (newsticker--scrollable-text): Move from newst-backend.el.
3905 2014-09-22 Kan-Ru Chen <kanru@kanru.info>
3907 * window.el (fit-window-to-buffer): When counting buffer width,
3908 count the whole visible buffer. Correctly convert the body-height
3909 to pixel size for window-text-pixel-size (Bug#18498).
3911 2014-09-22 Sam Steingold <sds@gnu.org>
3913 * progmodes/sql.el (sql-product-alist): Improve the Vertica entry.
3914 (sql-execute): Use `special-mode'.
3916 2014-09-22 Stefan Monnier <monnier@iro.umontreal.ca>
3918 Add pcase-defmacro, as well as `quote' and `app' patterns.
3919 * loadup.el: Increase max-lisp-eval-depth when macroexpanding macroexp.
3920 * emacs-lisp/pcase.el: Allow (F . ARGS) in `app' patterns.
3921 (pcase--funcall, pcase--eval): New functions.
3922 (pcase--u1): Use them for guard, pred, let, and app.
3923 (\`): Use the new feature to generate better code for vector patterns.
3924 * emacs-lisp/pcase.el: Use pcase-defmacro to handle backquote.
3925 (pcase--upat): Remove.
3926 (pcase--macroexpand): Don't hardcode handling of `.
3927 (pcase--split-consp, pcase--split-vector): Remove.
3928 (pcase--split-equal): Disregard ` since it's expanded away.
3929 (pcase--split-member): Optimize for quote rather than for `.
3930 (pcase--split-pred): Optimize for quote rather than for `.
3931 (pcase--u1): Remove handling of ` (and of `or' and `and').
3932 Quote non-selfquoting values when passing them to `eq'.
3933 Drop `app's let-binding if the variable is not used.
3934 (pcase--q1): Remove.
3935 (`): Define as a pattern macro.
3936 * emacs-lisp/pcase.el (pcase--match): New smart-constructor function.
3937 (pcase--expand pcase--q1, pcase--app-subst-match): Use it.
3938 (pcase--macroexpand): Handle self-quoting patterns here, expand them to
3940 (pcase--split-match): Don't hoist or/and here any more.
3941 (pcase--split-equal): Optimize quote patterns as well as ` patterns.
3942 (pcase--flip): New helper macro.
3943 (pcase--u1): Optimize the memq case directly.
3944 Don't handle neither self-quoting nor and/or patterns any more.
3945 * emacs-lisp/pcase.el (pcase-defmacro): New macro.
3946 (pcase--macroexpand): New function.
3947 (pcase--expand): Use it.
3948 * emacs-lisp/pcase.el (pcase--app-subst-match, pcase--app-subst-rest):
3949 New optimization functions.
3950 (pcase--u1): Add support for `quote' and `app'.
3951 (pcase): Document them in the docstring.
3953 2014-09-22 Stefan Monnier <monnier@iro.umontreal.ca>
3955 Use lexical-bindin in Ibuffer.
3956 * ibuffer.el (ibuffer-do-toggle-read-only): `arg' is unused.
3957 (ibuffer-compile-format): Simplify.
3958 (ibuffer-clear-summary-columns): Simplify.
3959 * ibuf-ext.el (ibuffer-generate-filter-groups): Don't use the third
3960 elem of dotimes when we don't refer to the iteration var from it.
3961 (ibuffer-toggle-sorting-mode): Avoid add-to-list.
3962 * ibuf-macs.el (define-ibuffer-column, define-ibuffer-op):
3963 Silence byte-compiler.
3965 2014-09-22 Stefan Monnier <monnier@iro.umontreal.ca>
3967 * font-lock.el (font-lock-compile-keyword): Don't confuse a lambda
3968 expression for a list.
3970 * emacs-lisp/bytecomp.el (byte-compile-lambda): Don't add fundoc usage
3971 for functions with no arguments.
3973 * mpc.el (mpc-data-directory): Use locate-user-emacs-file.
3974 (mpc-volume-refresh): Make sure the corresponding header-line is updated.
3976 2014-09-17 Tom Willemse <tom@ryuslash.org> (tiny change)
3978 * simple.el (clone-indirect-buffer): Mention the return value
3981 * progmodes/prog-mode.el (prog-mode-hook): Replace reference to
3982 Text mode in docstring (bug#18464).
3984 2014-09-21 Stefan Monnier <monnier@iro.umontreal.ca>
3986 * progmodes/perl-mode.el (perl-syntax-propertize-function):
3987 Accept underscores in identifiers after "sub" (bug#18502).
3989 2014-09-21 Tassilo Horn <tsdh@gnu.org>
3991 * textmodes/reftex-sel.el (reftex-select-label-mode)
3992 (reftex-select-bib-mode, reftex-insert-docstruct): Derive modes
3993 from special-mode (instead of fundamental-mode) and propertize
3994 with font-lock-face instead of just face. (Bug#18496)
3996 * textmodes/reftex-toc.el (reftex-toc-mode, reftex-toc): Ditto.
3998 2014-09-19 Dmitry Gutov <dgutov@yandex.ru>
4000 * emacs-lisp/lisp.el (lisp-completion-at-point): Only calculate
4001 `table-etc' when `end' is non-nil.
4002 (lisp-completion-at-point): Move `end' back if it's after quote.
4003 If in comment or string, only complete when after backquote.
4005 (lisp-completion-at-point): Don't use
4006 `lisp--local-variables-completion-table' in the
4007 `lisp--form-quoted-p' case.
4009 2014-09-19 Dmitry Gutov <dgutov@yandex.ru>
4011 * emacs-lisp/lisp.el (lisp--expect-function-p)
4012 (lisp--form-quoted-p): New functions.
4013 (lisp-completion-at-point): Use them to see if we're completing a
4014 variable reference, a function name, or just any symbol.
4015 http://lists.gnu.org/archive/html/emacs-devel/2014-02/msg00229.html
4017 2014-09-18 Ivan Kanis <ivan@kanis.fr>
4019 * net/shr.el, net/eww.el: Don't override `shr-width', but
4020 introduce a new variable `shr-internal-width'. This allows users
4021 to specify a width themselves.
4023 2014-09-18 Lars Magne Ingebrigtsen <larsi@gnus.org>
4025 * image-mode.el (image-toggle-display-image): If we have a
4026 `fit-width' or a `fit-height', don't limit the size of the image
4027 to the window size, because that doesn't preserve the aspect ratio.
4028 * image-mode.el: Move defvars earlier to avoid a byte-compilation
4031 2014-09-17 Reuben Thomas <rrt@sc3d.org>
4033 * progmodes/js.el: Add interpreter-mode-alist support for various
4034 JavaScript interpreters.
4036 2014-09-17 Paul Eggert <eggert@cs.ucla.edu>
4038 Don't assume 'grep' supports GREP_OPTIONS.
4039 The GREP_OPTIONS environment variable is planned to be marked
4040 obsolescent in GNU grep, due to problems in its use, so stop
4042 * progmodes/grep.el (grep-highlight-matches): Document this.
4043 (grep-process-setup): Do not set GREP_OPTIONS.
4044 (grep-compute-defaults): Use an explicit --color option if supported.
4046 2014-09-16 Stefan Monnier <monnier@iro.umontreal.ca>
4048 * msb.el (msb--make-keymap-menu, msb-menu-bar-update-buffers):
4049 Don't add outdated key-shortcut cache (bug#18482).
4051 2014-09-15 Glenn Morris <rgm@gnu.org>
4053 * image.el (image-multi-frame-p): Fix thinko - do not force
4054 a delay if none was specified. (Bug#18334)
4056 2014-09-15 Kan-Ru Chen <kanru@kanru.info>
4058 * window.el (fit-window-to-buffer): Doc fix.
4060 2014-09-15 Ivan Shmakov <ivan@siamics.net>
4062 * desktop.el (desktop-create-buffer): Check that buffers are still live
4063 before burying them (bug#18373).
4065 2014-09-15 Glenn Morris <rgm@gnu.org>
4067 * calendar/diary-lib.el (diary-list-entries):
4068 Restore 24.3 display behavior. (Bug#18381)
4070 2014-09-15 Eli Zaretskii <eliz@gnu.org>
4072 * mouse.el (mouse-drag-line): On text-mode frames, count the mode
4073 line and header line as 1 pixel. This fixes the 1-"pixel" (row)
4074 discrepancy between window-pixel-edges and mouse events, and
4075 avoids moving mode line up when the mouse click is on the modeline
4076 and no drag is attempted.
4078 2014-09-14 Daniel Colascione <dancol@dancol.org>
4080 * register.el (insert-register): Change default interactive
4083 2014-09-14 Michael Albinus <michael.albinus@gmx.de>
4085 * net/tramp-cache.el (tramp-flush-file-function): Simplify check.
4086 Suppress debug messages.
4088 * net/tramp.el (tramp-file-name-handler):
4089 * net/tramp-gvfs.el (tramp-gvfs-url-file-name): Apply `cons' where
4092 2014-09-13 Christopher Schmidt <ch@ristopher.com>
4094 * calendar/calendar.el (calendar-update-mode-line):
4095 Do not overwrite mode-line-format if calendar-mode-line-format is
4098 2014-09-13 Leo Liu <sdl.web@gmail.com>
4100 * emacs-lisp/pcase.el (pcase--dontwarn-upats): New var.
4101 (pcase--expand): Use it.
4102 (pcase-exhaustive): New macro. (Bug#16567)
4104 * emacs-lisp/lisp-mode.el (lisp-el-font-lock-keywords-2):
4105 Add pcase-exhaustive.
4107 2014-09-13 Eli Zaretskii <eliz@gnu.org>
4109 * mail/rmailmm.el (rmail-mime-insert-html): Decode the HTML part
4110 using the specified transfer-encoding, if any, or 'undecided'.
4111 (rmail-mime-render-html-shr): Bind shr-width to nil, so lines are
4112 broken at the window margin.
4114 2013-12-27 Ken Olum <kdo@cosmos.phy.tufts.edu>
4116 Support rendering of HTML parts in Rmail (bug#4258).
4117 * mail/rmailmm.el (rmail-mime-process): Handle text/html
4118 separately from other text/ types. Suppress tagline for
4120 (rmail-mime-parse): Don't change visibility of tagline here.
4121 (rmail-mime-set-bulk-data, rmail-mime-insert-bulk):
4122 Handle text/html specially.
4123 (rmail-mime-render-html-function,rmail-mime-prefer-html): New variables.
4124 (rmail-mime-insert-html, rmail-mime-render-html-shr)
4125 (rmail-mime-render-html-lynx): New functions.
4126 (rmail-mime-fix-inserted-faces): New function.
4127 (rmail-mime-process-multipart): Find the best part to show
4128 following rmail-mime-prefer-html if set.
4129 (rmail-mime-searching): New variable.
4130 (rmail-search-mime-message): Bind rmail-mime-searching to
4131 suppress rendering while searching.
4133 2014-09-12 Sam Steingold <sds@gnu.org>
4135 * progmodes/sql.el (sql-product-alist): Add vertica.
4136 (sql-vertica-program, sql-vertica-options)
4137 (sql-vertica-login-params, sql-comint-vertica, sql-vertica):
4138 New functions and variables to support Vertica.
4139 Inspired by code by Roman Scherer <roman@burningswell.com>.
4141 2014-09-11 Paul Eggert <eggert@cs.ucla.edu>
4143 * ses.el (ses-file-format-extend-parameter-list): Rename from
4144 ses-file-format-extend-paramter-list, to correct a misspelling.
4147 2014-09-10 Alan Mackenzie <acm@muc.de>
4149 CC Mode: revert recent changes and fix bug 17463 (cc-langs.elc
4150 gets loaded at run-time).
4151 * progmodes/cc-langs.el (c-no-parens-syntax-table): Rename the
4152 c-lang-const to c-make-no-parens-syntax-table and correct the
4154 (c-no-parens-syntax-table): Correct the logic of the
4157 2014-09-10 Stefan Monnier <monnier@iro.umontreal.ca>
4159 CC-mode: Set open-paren-in-column-0-is-defun-start to nil;
4161 * progmodes/cc-mode.el (c-basic-common-init):
4162 Set open-paren-in-column-0-is-defun-start.
4163 (adaptive-fill-first-line-regexp, font-lock-syntactic-keywords):
4164 Remove declarations, unused.
4165 (run-mode-hooks): Remove declaration.
4166 (font-lock-defaults): Use plain `defvar' to declare.
4167 (c-run-mode-hooks): Test existence of run-mode-hooks with fboundp.
4168 * progmodes/cc-langs.el (c-filter-ops): Avoid `setq'.
4169 (c-make-mode-syntax-table): Don't micro-optimize.
4170 (c-keywords, c-keyword-member-alist): Simplify.
4171 (c-kwds-lang-consts): Don't eval at compile-time.
4172 (c-primary-expr-regexp): Comment out unused vars.
4173 * progmodes/cc-fonts.el (c-font-lock-context): Declare at top-level.
4174 (c-font-byte-compile): New var.
4175 (c--compile): New function. Use it instead of `byte-compile'.
4176 (c-cpp-matchers): Quote the value returned by
4177 `c-make-syntactic-matcher' in case it's not self-evaluating.
4178 (c-basic-matchers-before): Avoid a plain MATCHER as keyword, wrap it in
4179 parentheses instead (in case MATCHER happens to be a list).
4180 (c-font-lock-enum-tail): Remove unused var `start'.
4181 (c-font-lock-objc-methods): Silence byte-compiler warnings.
4182 * progmodes/cc-engine.el (c-syntactic-re-search-forward): Sink an `if'
4183 test into an argument.
4184 * progmodes/cc-defs.el (c-point, c-major-mode-is, c-put-char-property)
4185 (c-get-char-property): Don't use `eval' just to unquote a constant.
4186 (c-use-extents): Remove. Use (featurep 'xemacs), compiled
4188 (c-put-char-property-fun): Don't call `byte-compile' by hand.
4189 (c-clear-char-property, c-clear-char-properties): Check that `property'
4190 is a quoted constant.
4191 (c-emacs-features): Remove `infodock', `syntax-properties', and
4192 `pps-extended-state' (never used), `8-bit' and `1-bit' (use (featurep
4193 'xemacs) instead). Use `with-temp-buffer' and let-bind vars after
4194 changing buffer, so we don't have to setq them again afterwards.
4195 (c-lang-const): Remove redundant symbolp assertions.
4196 (c-find-assignment-for-mode): Use `or'.
4197 * Makefile.in (compile-one-process): Remove cc-mode dependency.
4199 2014-09-09 Sam Steingold <sds@gnu.org>
4201 * progmodes/sql.el (sql-default-directory): Fix type annotation.
4203 2014-09-09 Stefan Monnier <monnier@iro.umontreal.ca>
4205 * progmodes/cc-awk.el: Remove unneeded cc-bytecomp use.
4206 Change doc comments into docstrings.
4207 * Makefile.in: Remove cc-awk dependency.
4209 2014-09-08 Sam Steingold <sds@gnu.org>
4211 * progmodes/sql.el (sql-send-line-and-next): New command,
4213 (sql-show-sqli-buffer): Display the buffer instead of its name and
4214 bind the command to C-c C-z.
4215 (sql-default-directory): New user option.
4216 (sql-product-interactive): Bind `default-directory' to it to
4217 enable remote connections using Tramp.
4218 (sql-set-sqli-buffer): Call `sql-product-interactive' when no
4219 suitable buffer is available.
4221 2014-09-08 Glenn Morris <rgm@gnu.org>
4223 * calendar/calendar.el (calendar-basic-setup):
4224 Fix calendar-view-holidays-initially-flag and fancy display.
4225 * calendar/diary-lib.el (diary-live-p): Doc fix.
4227 * calendar/calendar.el (calendar-basic-setup):
4228 Avoid clobbering calendar with diary. (Bug#18381)
4230 2014-09-08 Stefan Monnier <monnier@iro.umontreal.ca>
4232 * vc/vc-dir.el (vc-dir-update): Don't burp in corner case.
4234 2014-09-08 Lars Ljung <lars@matholka.se> (tiny change)
4236 * isearch.el (isearch-yank-word-or-char): Obey superword-mode
4237 as well (bug#18400).
4239 2014-09-08 Eli Zaretskii <eliz@gnu.org>
4241 * subr.el (posn-actual-col-row): Doc fix. (Bug#18385)
4243 2014-09-06 Leo Liu <sdl.web@gmail.com>
4245 * emacs-lisp/pcase.el (pcase): Doc fix.
4246 (pcase--split-vector): New function.
4247 (pcase--q1): Support vector qpattern. (Bug#18327)
4249 2014-09-05 Sam Steingold <sds@gnu.org>
4251 * textmodes/tex-mode.el (tex-print-file-extension): New user
4253 (tex-print): Use it instead of the hard-coded string.
4255 2014-09-05 Michael Albinus <michael.albinus@gmx.de>
4257 * net/tramp-sh.el (tramp-sh-handle-start-file-process):
4258 Expand `default-directory'.
4260 2014-09-05 Martin Rudalics <rudalics@gmx.at>
4262 * scroll-bar.el (horizontal-scroll-bars-available-p):
4264 (horizontal-scroll-bar-mode): Rewrite using
4265 horizontal-scroll-bars-available-p.
4266 * menu-bar.el (menu-bar-showhide-scroll-bar-menu): Rewrite using
4267 horizontal-scroll-bars-available-p.
4269 2014-09-05 Stefan Monnier <monnier@iro.umontreal.ca>
4271 * subr.el (call-process-shell-command, process-file-shell-command):
4272 Make the `args' obsolete (bug#18409).
4273 (start-process-shell-command, start-file-process-shell-command):
4276 2014-09-05 Jay Belanger <jay.p.belanger@gmail.com>
4278 * calc/calc-forms.el (math-normalize-hms): Do a better check for
4279 "negative" hms forms.
4281 2014-09-04 Rasmus Pank Roulund <emacs@pank.eu>
4283 * vc/vc-git.el (vc-git-conflicted-files): Fix bug when git status
4284 returns nil (bug#18391).
4286 2014-09-04 Stefan Monnier <monnier@iro.umontreal.ca>
4288 * emacs-lisp/eldoc.el (eldoc-function-argstring): Don't strip
4289 terminating paren (bug#18352).
4290 (eldoc-last-data-store): Return cached data.
4291 (eldoc-get-var-docstring): Avoid setq.
4292 (eldoc-get-fnsym-args-string): Clarify data flow.
4294 2014-09-04 Thierry Volpiatto <thierry.volpiatto@gmail.com>
4296 * emacs-lisp/eldoc.el (eldoc-highlight-function-argument): Handle the
4297 case where we're currently providing part of the &rest arg after some
4298 &key args, as in define-ibuffer-op (bug#18048).
4300 2014-09-03 Stefan Monnier <monnier@iro.umontreal.ca>
4302 * progmodes/which-func.el (which-func-ff-hook): Obey pre-existing
4303 buffer-local setting of which-func-mode.
4304 (which-func-mode): Use defvar-local.
4305 (which-function-mode): Don't reset which-func-mode in each buffer since
4306 it might have been set by someone else.
4307 (which-func-update-ediff-windows): Check which-function-mode.
4309 2014-09-03 Martin Rudalics <rudalics@gmx.at>
4311 * frame.el (frame-initialize): Remove horizontal-scroll-bars
4312 from frame-initial-frame-alist.
4313 * scroll-bar.el (previous-horizontal-scroll-bar-mode)
4314 (horizontal-scroll-bar-mode-explicit)
4315 (set-horizontal-scroll-bar-mode, get-horizontal-scroll-bar-mode)
4316 (toggle-horizontal-scroll-bar): Remove.
4317 (horizontal-scroll-bar-mode): Remove defcustom.
4318 (horizontal-scroll-bar-mode): Fix doc-string.
4319 (scroll-bar-toolkit-scroll)
4320 (scroll-bar-toolkit-horizontal-scroll): Add doc-strings stubs.
4322 2014-09-03 Stefan Monnier <monnier@iro.umontreal.ca>
4324 * emacs-lisp/package.el (package-generate-description-file):
4325 Properly quote the arguments (bug#18332). Change second arg.
4326 (package--alist-to-plist-args): Rename from package--alist-to-plist and
4328 (package--make-autoloads-and-stuff): Fix the test for pre-existence of
4329 the *-pkg.el file. Adjust to new calling convention of
4330 package-generate-description-file.
4332 * progmodes/gud.el (gud-gdb-completion-at-point): Add hack (bug#18282).
4333 (gud-gdb-completions): Remove obsolete workaround.
4335 2014-09-03 Eli Zaretskii <eliz@gnu.org>
4337 * subr.el (posn-col-row): Revert the change from commit
4338 2010-11-13T21:07:58Z!eliz@gnu.org, which
4339 was inadvertently merged from emacs-23 release branch in 2010-11-18T03:54:14Z!monnier@iro.umontreal.ca
4340 monnier@iro.umontreal.ca-20101118035414-yvlg7k7dk4k4l3q, and
4341 introduced an off-by-one error in the reported row when there is a
4342 header line. (Bug#18384)
4344 2014-09-03 Fabián Ezequiel Gallina <fgallina@gnu.org>
4346 * progmodes/python.el (python-indent-post-self-insert-function):
4347 Avoid electric colon at beginning-of-defun. (Bug#18228)
4349 2014-09-03 Glenn Morris <rgm@gnu.org>
4351 * tutorial.el (tutorial--display-changes):
4352 Fix 2014-08-01 change. (Bug#18382)
4354 2014-09-03 Ken Brown <kbrown@cornell.edu>
4356 * startup.el (fancy-splash-frame): Extend the fix for Bug#16014 to
4357 the Cygwin-w32 build. (Bug#18347)
4359 2014-09-03 Glenn Morris <rgm@gnu.org>
4361 * tar-mode.el (tar--extract, tar-extract):
4362 Avoid permanently disabling undo in extracted buffers. (Bug#18344)
4364 2014-09-03 Stefan Monnier <monnier@iro.umontreal.ca>
4366 * progmodes/sh-script.el (sh-font-lock-quoted-subshell): Try to better
4367 handle multiline elements (bug#18380).
4369 2014-09-01 Eli Zaretskii <eliz@gnu.org>
4371 * ls-lisp.el (ls-lisp-use-string-collate)
4372 (ls-lisp-UCA-like-collation): New defcustoms.
4373 (ls-lisp-string-lessp): Use them to control sorting by file
4375 (ls-lisp-version-lessp): New function.
4376 (ls-lisp-handle-switches): Use it to implement the -v switch of
4378 (ls-lisp--insert-directory): Mention the -v switch in the doc string.
4380 2014-08-31 Christoph Scholtes <cschol2112@gmail.com>
4382 * ibuffer.el: Replace mode-specific quit function with
4383 `quit-window' via `special-mode'.
4384 (ibuffer-mode-map): Use keybindings from special-mode-map instead
4386 (ibuffer): Don't store previous windows configuration.
4387 Let `quit-window' handle restoring.
4388 (ibuffer-quit): Remove function. Use `quit-window' instead.
4389 (ibuffer-restore-window-config-on-quit): Remove variable.
4390 (ibuffer-prev-window-config): Remove variable.
4392 2014-08-29 Michael Heerdegen <michael_heerdegen@web.de>
4394 * emacs-lisp/easy-mmode.el (define-minor-mode): Use mode function
4395 name instead of variable name in hook docstring. (Bug#18349)
4397 2014-08-29 Martin Rudalics <rudalics@gmx.at>
4399 * window.el (display-buffer-at-bottom): Prefer bottom-left
4400 window to other bottom windows. Reuse a bottom window if it
4401 shows the buffer already. Suggested by Juri Linkov
4402 <juri@jurta.org> in discussion of (Bug#18181).
4404 2014-08-29 Leo Liu <sdl.web@gmail.com>
4406 * files.el (minibuffer-with-setup-hook): Allow (:append FUN) to
4407 append to minibuffer-setup-hook. (Bug#18341)
4409 2014-08-28 Stefan Monnier <monnier@iro.umontreal.ca>
4411 * progmodes/cc-defs.el: Expose c-lanf-defconst's expressions to the
4413 (lookup-syntax-properties): Silence byte-compiler.
4414 (c-lang-defconst): Quote the code with `lambda' rather than with
4416 (c-lang-const): Avoid unneeded setq.
4417 (c-lang-constants-under-evaluation): Add docstring.
4418 (c-lang--novalue): New constant.
4419 (c-find-assignment-for-mode): Use it instead of c-lang-constants.
4420 (c-get-lang-constant): Same here.
4421 Get the mode's value using `funcall' now that the code is quoted
4424 2014-08-28 Michael Albinus <michael.albinus@gmx.de>
4426 * net/tramp.el (tramp-handle-shell-command): Use `display-buffer'.
4429 2014-08-28 Martin Rudalics <rudalics@gmx.at>
4431 * scroll-bar.el (scroll-bar-horizontal-drag-1): Handle new
4432 interpretation of `portion-whole'.
4434 2014-08-28 Michael Albinus <michael.albinus@gmx.de>
4436 * net/tramp-adb.el: Spell author name correctly.
4438 2014-08-28 João Távora <joaotavora@gmail.com>
4440 * net/shr.el (shr-expand-url): Plain expand-file-name is not enough;
4441 use url-expand-file-name. (Bug#18310)
4443 2014-08-28 Glenn Morris <rgm@gnu.org>
4445 * emulation/cua-rect.el (cua--highlight-rectangle):
4446 Avoid error at point-min. (Bug#18309)
4448 2014-08-28 Stefan Monnier <monnier@iro.umontreal.ca>
4450 * progmodes/python.el (python-shell-prompt-detect): Remove redundant
4451 executable-find (bug#18244).
4453 * simple.el (self-insert-uses-region-functions): Defvar.
4455 2014-08-28 Glenn Morris <rgm@gnu.org>
4457 * subr.el (remq): Revert 2014-08-25 doc change (not always true).
4459 2014-08-27 Dmitry Antipov <dmantipov@yandex.ru>
4461 * startup.el (normal-top-level): Now use internal--top-level-message.
4463 2014-08-26 Dmitry Antipov <dmantipov@yandex.ru>
4465 * startup.el (normal-top-level): Use top-level-message.
4467 2014-08-25 Lars Magne Ingebrigtsen <larsi@gnus.org>
4469 * net/shr.el (shr-copy-url): Encode copied URL to avoid getting
4470 URLs containing spaces and the like.
4472 2014-08-25 Christoph Scholtes <cschol2112@gmail.com>
4474 * subr.el (remq): Fix docstring (Bug#18253).
4476 2014-08-25 Christoph Scholtes <cschol2112@gmail.com>
4478 * replace.el (query-replace): Fix typo in docstring (Bug#18320).
4480 2014-08-24 Alan Mackenzie <acm@muc.de>
4482 Handle C++11's "auto" and "decltype" constructions.
4483 * progmodes/cc-engine.el (c-forward-type): Enhance to recognise
4484 and return 'decltype.
4485 (c-forward-decl-or-cast-1): New let variables backup-kwd-sym,
4486 prev-kwd-sym, new-style-auto. Enhance to handle the new "auto"
4488 * progmodes/cc-fonts.el (c-font-lock-declarations): Handle the
4490 (c-font-lock-c++-new): Handle "decltype" constructions.
4491 * progmodes/cc-langs.el (c-auto-ops, c-auto-ops-re):
4492 New c-lang-defconsts/defvars.
4493 (c-haskell-op, c-haskell-op-re): New c-lang-defconsts/defvars.
4494 (c-typeof-kwds, c-typeof-key): New c-lang-defconsts/defvars.
4495 (c-typeless-decl-kwds): Append "auto" onto the C++ value.
4496 (c-not-decl-init-keywords): Also exclude c-typeof-kwds from value.
4498 Make ">>" act as double template ender in C++ Mode. (Bug#11386)
4499 * progmodes/cc-langs.el (c->-op-cont-tokens): New lang-const split
4500 off from c->-op-cont-re.
4501 (c->-op-cont-tokens): Change to use the above.
4502 (c->-op-without->-cont-regexp): New lang-const.
4503 * progmodes/cc-engine.el (c-forward-<>-arglist-recur):
4504 Use c->-op-without->-cont-regexp in place of c->-op-cont-tokens.
4507 2014-08-23 Alan Mackenzie <acm@muc.de>
4509 * progmodes/cc-fonts.el (c-font-lock-declarators): Fix infinite
4510 loop, bug #18306. The bug was introduced on 2014-08-02.
4512 2014-08-21 Eli Zaretskii <eliz@gnu.org>
4514 * textmodes/texnfo-upd.el (texinfo-specific-section-type):
4515 Don't recognize a Top node if there are other sectioning commands
4516 earlier in the Texinfo file. This fixes a bug in
4517 texinfo-make-menu and avoids inflooping in
4518 texinfo-all-menus-update when they are invoked on texinfo.texi.
4520 2014-08-21 Martin Rudalics <rudalics@gmx.at>
4522 * window.el (window--side-window-p): New function.
4523 (split-window, window-splittable-p): Use window--side-window-p to
4524 determine whether WINDOW can be split (Bug#18304).
4525 * calendar/calendar.el (calendar-basic-setup): Fix one call of
4526 `window-splittable-p' and add another (Bug#18304).
4528 2014-08-20 Sam Steingold <sds@gnu.org>
4530 * progmodes/python.el (python-new-pythonpath): Extract from
4531 `python-shell-calculate-process-environment'.
4533 2014-08-18 Thierry Volpiatto <thierry.volpiatto@gmail.com>
4535 * emacs-lisp/eldoc.el (eldoc-highlight-function-argument): Add support
4536 for &key args (bug#18048).
4538 2014-08-18 Stefan Monnier <monnier@iro.umontreal.ca>
4540 * emacs-lisp/eldoc.el (eldoc-argument-case): Obsolete and change default.
4541 (eldoc-function-argstring-format): Remove.
4542 (eldoc-function-argstring): Always return upcase args.
4543 Use help-make-usage. Don't add parens.
4544 (eldoc-get-fnsym-args-string): Don't obey eldoc-argument-case since
4545 it's too late to do it right (bug#18048).
4547 2014-08-18 Eli Zaretskii <eliz@gnu.org>
4549 * scroll-bar.el (scroll-bar-horizontal-drag-1)
4550 (scroll-bar-toolkit-horizontal-scroll): When determining the
4551 paragraph direction, use the buffer of the window designated in
4554 2014-08-16 Andreas Schwab <schwab@linux-m68k.org>
4556 * vc/diff-mode.el (diff-fixup-modifs): Handle empty line in
4557 context of unified diff.
4559 2014-08-16 Paul Eggert <eggert@cs.ucla.edu>
4561 Add dependencies to fix loaddefs race during parallel builds.
4562 Without this, for example, 'make -j bootstrap' can fail and report
4563 "Opening input file: no such file or directory,
4564 .../lisp/calendar/diary-loaddefs.el ... recipe for target
4565 'calendar/hol-loaddefs.el' failed", where the hol-loaddefs.el rule
4566 got confused because diary-loaddefs.el was being built in parallel.
4567 * Makefile.in ($(CAL_DIR)/diary-loaddefs.el):
4568 Depend on $(CAL_DIR)/cal-loaddefs.el.
4569 ($(CAL_DIR)/hol-loaddefs.el): Depend on $(CAL_DIR)/diary-loaddefs.el.
4571 2014-08-16 Martin Rudalics <rudalics@gmx.at>
4573 * scroll-bar.el (scroll-bar-horizontal-drag-1): Use cdr of
4574 portion-whole for scrolling right-to-left text.
4576 2014-08-15 Leo Liu <sdl.web@gmail.com>
4578 * speedbar.el (speedbar-generic-list-tag-p): Allow special
4579 elements from imenu.
4581 2014-08-15 Glenn Morris <rgm@gnu.org>
4583 * subr.el (with-output-to-temp-buffer): Doc fix; from elisp manual.
4585 2014-08-13 Jan Nieuwenhuizen <janneke@gnu.org>
4587 * progmodes/compile.el (compilation-error-regexp-alist-alist):
4588 Add Guile regexpses.
4590 2014-08-13 Jan Nieuwenhuizen <janneke@gnu.org>
4592 * progmodes/gud.el (guiler): New function. Starts the Guile REPL;
4593 add Guile debugger support for GUD.
4595 2014-08-13 Stefan Monnier <monnier@iro.umontreal.ca>
4597 * obsolete/mouse-sel.el (mouse-sel-mode): Use add/remove-function.
4598 (mouse-sel--ignore): New function.
4599 (mouse-sel-has-been-enabled, mouse-sel-original-bindings)
4600 (mouse-sel-original-interprogram-cut-function)
4601 (mouse-sel-original-interprogram-paste-function): Remove.
4603 2014-08-13 Eric S. Raymond <esr@thyrsus.com>
4605 * vc/vc-git.el (vc-git-resolve-when-done): New function.
4606 Call "git add" when there are no longer conflict markers.
4608 2014-08-13 Rüdiger Sonderfeld <ruediger@c-plusplus.de>
4610 * vc/vc-git.el (vc-git-find-file-hook): New function.
4611 Adds support for calling smerge (and resolve) on a conflicted file.
4612 (vc-git-conflicted-files): New function.
4613 Useful in itself and a step towards better smerge support.
4615 2014-08-12 Stefan Monnier <monnier@iro.umontreal.ca>
4617 * mpc.el (mpc-reorder): Don't bother splitting the "active" elements
4618 to the first part if they're the same as the selection.
4620 2014-08-12 Lars Magne Ingebrigtsen <larsi@gnus.org>
4622 * image-mode.el (image-transform-reset): New command and menu item.
4623 (image-mode-map): Rearrange the menu items to put presumably more
4624 obscure items at the end.
4626 2014-08-12 Juri Linkov <juri@jurta.org>
4628 * vc/vc-annotate.el (vc-annotate-background-mode):
4629 Use `with-demoted-errors' instead of `ignore-errors'. (Bug#18189)
4631 2014-08-12 Stefan Monnier <monnier@iro.umontreal.ca>
4633 * files.el (out-of-memory-warning-percentage): Turn it off by default.
4635 2014-08-11 Sam Steingold <sds@gnu.org>
4637 * textmodes/sgml-mode.el (sgml-validate-command): Set depending on
4638 the presence of known validators (tidy, (o)nsgmls).
4640 2014-08-11 Ulf Jasper <ulf.jasper@web.de>
4642 Newsticker: introduce `newsticker-treeview-date-format'. (Bug#17227)
4643 * net/newst-treeview.el (newsticker-treeview-date-format): New.
4644 (newsticker--treeview-list-add-item):
4645 Use `newsticker-treeview-date-format'.
4647 2014-08-11 Glenn Morris <rgm@gnu.org>
4649 * files.el (basic-save-buffer-2): Revert 2013-01-31 change, which
4650 chose coding system for writing before backing up, since it causes
4651 a more serious problem than the one it solves. (Closes Bug#18141,
4654 2014-08-11 Martin Rudalics <rudalics@gmx.at>
4656 * window.el (window-total-size): Make doc-string more self-contained.
4658 * window.el (display-buffer-below-selected): Restore original
4659 behavior if buffer is already displayed in the window below the
4660 selected one (Bug#18181).
4662 2014-08-11 Stefan Monnier <monnier@iro.umontreal.ca>
4664 * mouse.el (mouse--down-1-maybe-follows-link): Don't convert the down
4667 2014-08-11 Eli Zaretskii <eliz@gnu.org>
4669 * info.el (info): Doc fix.
4671 2014-08-11 Stefan Monnier <monnier@iro.umontreal.ca>
4673 * info.el (Info-mode-map): Override a global down-mouse-2 binding
4676 2014-08-11 Eli Zaretskii <eliz@gnu.org>
4678 * simple.el (default-line-height): A floating-point value of
4679 line-spacing means a fraction of the default frame font's height,
4680 not of the font currently used by the 'default' face.
4681 Truncate the pixel value, like the display engine does.
4682 (window-screen-lines): Use window-inside-pixel-edges for
4683 determining the window height in pixels. (Bug#18195)
4685 2014-08-11 Grégoire Jadi <daimrod@gmail.com>
4687 * leim/quail/latin-post.el: Transform " __" into " _". (Bug#18023)
4689 2014-08-10 Ulf Jasper <ulf.jasper@web.de>
4691 Enumerate evaluated sexp diary entries (Bug#7911).
4692 * calendar/icalendar.el (icalendar-export-sexp-enumerate-all)
4693 (icalendar-export-sexp-enumeration-days): New.
4694 (icalendar-export-region): Now `icalendar--convert-to-ical'
4695 returns a cons cell or a list of cons cells.
4696 (icalendar--convert-to-ical): Take care of
4697 `icalendar-export-sexp-enumerate-all'. Return (a list of) cons cells.
4698 (icalendar--convert-ordinary-to-ical)
4699 (icalendar--convert-weekly-to-ical, icalendar--convert-yearly-to-ical)
4700 (icalendar--convert-block-to-ical, icalendar--convert-block-to-ical)
4701 (icalendar--convert-float-to-ical, icalendar--convert-cyclic-to-ical)
4702 (icalendar--convert-anniversary-to-ical): Return cons cell.
4703 (icalendar--convert-sexp-to-ical): Enumerate evaluated sexp
4704 entries. Return (list of) cons cells.
4706 2014-08-09 Juri Linkov <juri@jurta.org>
4708 * vc/vc-annotate.el (vc-annotate-background-mode): Add :set
4709 to reevaluate `vc-annotate-color-map'. (Bug#18189)
4711 2014-08-09 Alan Mackenzie <acm@muc.de>
4713 * progmodes/cc-fonts.el (c-font-lock-declarators): Remove check
4714 for top-level that can cause unacceptable slow-down in scrolling.
4715 See email Subject: Huge {...} blocks in C/C++ again, from Dmitry
4716 Antipov from 2013-10-14 in emacs-devel.
4718 2014-08-08 Rüdiger Sonderfeld <ruediger@c-plusplus.de>
4720 * ibuffer.el (ibuffer-mode-map): Use toggle button for
4721 `ibuffer-auto-mode' menu entry.
4722 (ibuffer-mode-hook): Add `ibuffer-auto-mode' customization option.
4724 2014-08-08 Matthias Meulien <orontee@gmail.com>
4726 * progmodes/prog-mode.el (prog-mode-hook): Make customizable.
4729 2014-08-07 Martin Rudalics <rudalics@gmx.at>
4731 * window.el (window--min-size-1): Explicitly set WINDOW arg in
4732 calls of window-min-pixel-height and window-min-pixel-width.
4734 2014-08-07 Reuben Thomas <rrt@sc3d.org>
4736 * progmodes/ada-mode.el:
4737 * net/tramp.el (tramp-handle-file-symlink-p):
4738 * net/tramp-ftp.el (tramp-ftp-file-name-handler): Remove a comment
4739 about VMS, which we no longer support.
4740 * progmodes/ada-xref.el (ada-xref-current): Remove mention of VMS,
4741 and fix a FIXME, using convert-standard-filename in place of
4742 removed ada-convert-file-name.
4744 2014-08-07 Eli Zaretskii <eliz@gnu.org>
4746 * files.el (auto-mode-alist): Remove support for VMS from a pattern.
4748 2014-08-07 Reuben Thomas <rrt@sc3d.org>
4750 Refer to MS-DOS using the same name everywhere.
4751 * arc-mode.el, files.el, frame.el: ``MS-DOG'', ``MSDOG'' and
4752 ``msdog'' become ``MS-DOS''.
4754 2014-08-07 Michael Albinus <michael.albinus@gmx.de>
4756 * net/tramp-sh.el (tramp-do-copy-or-rename-file-out-of-band):
4757 Use cached "remote-copy-args" value, if available. (Bug#18199)
4759 2014-08-07 Leo Liu <sdl.web@gmail.com>
4761 * help.el (temp-buffer-setup-hook,temp-buffer-show-hook):
4762 Revert change on 2014-03-22.
4764 2014-08-06 Ulf Jasper <ulf.jasper@web.de>
4766 * calendar/icalendar.el (icalendar--diarytime-to-isotime)
4767 (icalendar--convert-ordinary-to-ical): Allow for missing minutes
4771 2014-08-05 Lars Magne Ingebrigtsen <larsi@gnus.org>
4773 * image-mode.el (image-toggle-display-image): Always rescale images
4774 to not be bigger than the current window.
4776 2014-08-05 Eric Brown <brown@fastmail.fm> (tiny change)
4778 * net/eww.el (eww-bookmarks-directory): New variable.
4779 (eww-write-bookmarks): Use it.
4780 (eww-read-bookmarks): Ditto.
4782 2014-08-05 Lars Magne Ingebrigtsen <larsi@gnus.org>
4784 * net/shr.el (shr-copy-url): Also copy the image URL.
4786 2014-08-05 Michael Albinus <michael.albinus@gmx.de>
4788 * net/tramp-cache.el (tramp-flush-file-function): Suppress function
4789 also for Tramp working buffers.
4791 2014-08-04 Fabián Ezequiel Gallina <fgallina@gnu.org>
4793 * progmodes/python.el: Fix completions inside (i)pdb.
4794 (python-shell-completion-pdb-string-code): Make obsolete.
4795 (python-shell-completion-get-completions):
4796 Use python-shell-completion-string-code resending setup code
4797 continuously for (i)pdb.
4799 2014-08-04 Paul Eggert <eggert@cs.ucla.edu>
4801 * rect.el (rectangle--default-line-number-format): Rename
4802 from misspelled rectange--default-line-number-format (Bug#18045).
4805 2014-08-03 Paul Eggert <eggert@cs.ucla.edu>
4807 Don't mishandle year-9999 dates (Bug#18176).
4808 * calendar/parse-time.el (parse-time-rules):
4809 Allow years up to most-positive-fixnum.
4810 * calendar/time-date.el (date-to-time):
4811 Pass "Specified time is not representable" errors through.
4813 2014-08-02 Fabián Ezequiel Gallina <fgallina@gnu.org>
4815 * progmodes/python.el: Completion code cleanups.
4816 (python-shell-completion-get-completions): Detect and send import
4817 statements directly to completion function.
4818 (python-shell-completion-at-point): Simplify prompt calculation
4819 and import vs input completion logic.
4821 2014-08-02 Alan Mackenzie <acm@muc.de>
4823 Fix confusion in C++ file caused by comma in "= {1,2},".
4825 * progmodes/cc-engine.el (c-beginning-of-statement-1): In checking
4826 for a statement boundary marked by "}", check there's no "="
4828 (c-guess-basic-syntax CASE 9B): Call c-beginning-of-statement with
4829 non-nil `comma-delim' argument.
4830 * progmodes/cc-fonts.el (c-font-lock-declarators): Parse an
4831 initializer expression more accurately.
4833 Correct loop termination condition in c-syntactic-skip-backward.
4834 * progmodes/cc-engine.el (c-syntactic-skip-backward): Correct for
4835 the situation where, after moving back out of a literal,
4836 skip-chars-backward doesn't move further, yet checks have still to
4839 2014-08-01 Eli Zaretskii <eliz@gnu.org>
4841 * tutorial.el (tutorial--display-changes): Accept punctuation
4842 characters before the key binding. (Bug#18146)
4844 2014-07-31 Fabián Ezequiel Gallina <fgallina@gnu.org>
4846 * progmodes/python.el: Shell output capture enhancements.
4847 (python-shell-accept-process-output): New function.
4848 (inferior-python-mode)
4849 (python-shell-send-setup-code): Use it.
4851 2014-07-30 Christophe Deleuze <christophe.deleuze@free.fr> (tiny change)
4853 * calendar/icalendar.el (icalendar--decode-isodatetime):
4854 Use actual current-time-zone when converting to local time. (Bug#15408)
4856 2014-07-29 Martin Rudalics <rudalics@gmx.at>
4858 * window.el (window--state-put-2): Handle horizontal scroll
4861 2014-07-29 Rüdiger Sonderfeld <ruediger@c-plusplus.de>
4863 * menu-bar.el (menu-bar-update-buffers): Update item list format
4864 in `buffers-menu' to confirm with changes to `get_keyelt'
4865 (r117463). (Bug#18016)
4867 2014-07-28 Fabián Ezequiel Gallina <fgallina@gnu.org>
4869 * progmodes/python.el (inferior-python-mode): Make input prompts
4872 2014-07-28 Emilio C. Lopes <eclig@gmx.net>
4874 * net/tramp-sh.el (tramp-get-remote-python): Also search for
4875 executables named "python2" or "python3".
4876 (tramp-get-remote-uid-with-python): Use parentheses around
4877 arguments to `print' to make it compatible with Python 3.
4878 (tramp-get-remote-gid-with-python): Ditto. (Bug#18118)
4880 2014-07-28 Eli Zaretskii <eliz@gnu.org>
4882 * window.el (window--pixel-to-total): Use FRAME's root window, not
4883 that of the selected frame. (Bug#18112, Bug#16674)
4885 2014-07-28 Andreas Schwab <schwab@linux-m68k.org>
4887 * textmodes/tex-mode.el (tex-font-lock-verb): Doc fix.
4890 2014-07-28 Fabián Ezequiel Gallina <fgallina@gnu.org>
4892 * progmodes/python.el (inferior-python-mode): Doc fix.
4894 2014-07-28 Stephen Berman <stephen.berman@gmx.net>
4896 * calendar/todo-mode.el (todo-edit-item--next-key): If next key is
4897 not a character, ignore it instead of raising an error.
4899 * calendar/todo-mode.el: Fix handling of marked items and make
4900 minor code improvements.
4901 (todo-edit-item): If there are marked items, ensure user can only
4902 invoke editing commands that work with marked items.
4903 (todo-edit-item--text): When there are marked items, make it a
4904 noop if invoked with point not on an item; otherwise, ensure it
4905 applies only to item at point.
4906 (todo-item-undone): If there are marked not-done items, return
4907 point to its original position before signaling user error.
4908 (todo--user-error-if-marked-done-item): New function.
4909 (todo-edit-item--header, todo-edit-item--diary-inclusion)
4910 (todo-item-done): Use it.
4912 2014-07-28 Glenn Morris <rgm@gnu.org>
4914 * files.el (toggle-read-only): Re-add basic doc-string.
4915 * vc/vc-hooks.el (vc-toggle-read-only): Tweak obsolescence mesage.
4917 * progmodes/prolog.el (prolog-mode-keybindings-edit):
4918 Replace missing `switch-to-prolog' with `run-prolog'.
4919 (switch-to-prolog): Define as (obsolete) alias, as in 23.4.
4921 2014-07-28 Stephen Berman <stephen.berman@gmx.net>
4923 * calendar/todo-mode.el (todo-set-top-priorities): Fix overwriting
4924 of file-wide setting when changing category-wide setting.
4926 2014-07-28 Stephen Berman <stephen.berman@gmx.net>
4928 * doc-view.el (doc-view-open-text): Don't require that the
4929 document is saved in a file (e.g., email attachment).
4931 2014-07-28 Fabián Ezequiel Gallina <fgallina@gnu.org>
4933 Parse completion input in a iPython friendly way. (Bug#18084)
4934 * progmodes/python.el
4935 (python-shell-completion-at-point): Rename from
4936 python-shell-completion-complete-at-point.
4937 (inferior-python-mode): Use it.
4938 (python-completion-at-point): Rename from
4939 python-completion-complete-at-point. Parse input up to first
4940 backward occurrence of whitespace, open-paren, close-paren or
4942 (python-mode): Use it.
4944 2014-07-28 Fabián Ezequiel Gallina <fgallina@gnu.org>
4946 * progmodes/python.el
4947 (python-shell-with-shell-buffer): New macro.
4948 (python-shell-font-lock-get-or-create-buffer)
4949 (python-shell-font-lock-kill-buffer)
4950 (python-shell-font-lock-with-font-lock-buffer)
4951 (python-shell-font-lock-cleanup-buffer)
4952 (python-shell-font-lock-toggle): Use it.
4953 (python-shell-font-lock-turn-on)
4954 (python-shell-font-lock-turn-off): Use it. Make command.
4956 2014-07-28 Fabián Ezequiel Gallina <fgallina@gnu.org>
4958 Grab all Python process output before inferior-python-mode hooks.
4959 * progmodes/python.el (inferior-python-mode):
4960 Call accept-process-output and sit-for to ensure all output for process
4961 has been received before running hooks.
4962 (python-shell-internal-get-or-create-process):
4963 Cleanup accept-process-output and sit-for calls.
4965 2014-07-28 Fabián Ezequiel Gallina <fgallina@gnu.org>
4967 More robust shell startup and code setup.
4968 * progmodes/python.el (python-shell-make-comint):
4969 Remove accept-process-output call.
4970 (python-shell-get-buffer): Return current buffer if major-mode is
4971 inferior-python-mode.
4972 (python-shell-get-or-create-process): Use it.
4973 (python-shell-send-setup-code): Send all setup code in one string,
4974 output success message and accept-process-output.
4976 2014-07-27 Eli Zaretskii <eliz@gnu.org>
4978 * scroll-bar.el (scroll-bar-toolkit-horizontal-scroll):
4979 Add rudimentary support for bidirectional text.
4981 2014-07-27 Martin Rudalics <rudalics@gmx.at>
4983 * frame.el (frame-notice-user-settings): Rewrite using
4984 frame-initial-frame-tool-bar-height.
4985 * menu-bar.el (menu-bar-horizontal-scroll-bar)
4986 (menu-bar-no-horizontal-scroll-bar): New functions.
4987 (menu-bar-showhide-scroll-bar-menu): Add bindings for horizontal
4989 * scroll-bar.el (scroll-bar-lines)
4990 (set-horizontal-scroll-bar-mode)
4991 (get-horizontal-scroll-bar-mode, horizontal-scroll-bar-mode)
4992 (scroll-bar-horizontal-drag-1, scroll-bar-horizontal-drag)
4993 (scroll-bar-toolkit-horizontal-scroll): New functions.
4994 (horizontal-scroll-bar-mode)
4995 (previous-horizontal-scroll-bar-mode)
4996 (horizontal-scroll-bar-mode-explicit): New variables.
4997 (horizontal-scroll-bar-mode): New option.
4998 (toggle-horizontal-scroll-bar): Do something.
4999 (top-level): Bind horizontal-scroll-bar mouse-1.
5000 * startup.el (tool-bar-originally-present): Remove variable.
5001 (command-line): Don't set tool-bar-originally-present.
5002 * window.el (window-min-height): Update doc-string.
5003 (window--dump-frame): Dump horizontal scroll bar values.
5004 (window--min-size-1): Handle minibuffer window separately.
5005 Count in margins and horizontal scroll bar. Return safe value
5006 iff IGNORE equals 'safe.
5007 (frame-windows-min-size): New function (used by frame resizing
5009 (fit-frame-to-buffer, fit-window-to-buffer): Count in horizontal
5011 (window--sanitize-window-sizes): New function.
5012 (window-split-min-size): Remove.
5013 (split-window): Count divider-width. Don't use
5014 `window-split-min-size' any more. Reword error messages.
5015 Sanitize windows sizes after splitting.
5017 2014-07-27 Thien-Thi Nguyen <ttn@gnu.org>
5019 Use `defvar-local' more.
5020 * progmodes/hideshow.el
5021 (hs-c-start-regexp, hs-block-start-regexp)
5022 (hs-block-start-mdata-select, hs-block-end-regexp)
5023 (hs-forward-sexp-func, hs-adjust-block-beginning): ...here;
5024 remove corresponding `make-variable-buffer-local' top-level calls.
5026 2014-07-27 Fabián Ezequiel Gallina <fgallina@gnu.org>
5028 Cleanup error signals. (Bug#18067)
5029 * progmodes/python.el
5030 (python-indent-shift-left): Use user-error instead.
5031 (python-shell-prompt-detect): Use lwarn with python group.
5032 (python-completion-complete-at-point)
5033 (python-eldoc--get-doc-at-point): Don't signal error.
5035 2014-07-27 Fabián Ezequiel Gallina <fgallina@gnu.org>
5037 Support for packages in Python shell. (Bug#13570)
5038 * progmodes/python.el (python-shell--package-depth): New var.
5039 (python-shell-package-enable): New command.
5040 (python-util-list-directories, python-util-list-files)
5041 (python-util-list-packages): New functions.
5043 2014-07-27 Fabián Ezequiel Gallina <fgallina@gnu.org>
5045 Faster comint output. (Bug#16875)
5046 * progmodes/python.el:
5047 (python-comint-output-filter-function): Make obsolete.
5048 (python-comint-postoutput-scroll-to-bottom): New function.
5049 (inferior-python-mode): Set comint-output-filter-functions to a
5052 2014-07-27 Fabián Ezequiel Gallina <fgallina@gnu.org>
5054 * progmodes/python.el (python-shell-font-lock-post-command-hook):
5055 Safeguard current point and undo history.
5057 2014-07-26 Fabián Ezequiel Gallina <fgallina@gnu.org>
5059 Robust shell syntax highlighting. (Bug#18084, Bug#16875)
5060 * progmodes/python.el:
5061 (python-shell-prompt-input-regexps): Add iPython block prompt.
5062 (python-shell-output-syntax-table): Delete var.
5063 (python-shell-font-lock-with-font-lock-buffer): New macro.
5064 (python-shell-font-lock-get-or-create-buffer)
5065 (python-shell-font-lock-kill-buffer)
5066 (python-shell-font-lock-cleanup-buffer)
5067 (python-shell-font-lock-post-command-hook)
5068 (python-shell-font-lock-turn-off): New functions.
5069 (python-shell-font-lock-turn-on): New function.
5070 (inferior-python-mode): Use it.
5071 (python-shell-font-lock-toggle): New command.
5072 (python-shell-font-lock-enable): Rename from
5073 python-shell-enable-font-lock.
5074 (run-python-internal): Use it.
5075 (python-shell-font-lock-comint-output-filter-function): New function.
5076 (python-shell-comint-end-of-output-p): New function.
5077 (python-shell-output-filter): Use it.
5078 (python-util-comint-last-prompt): New function.
5079 (python-util-text-properties-replace-name): New function.
5081 2014-07-25 Glenn Morris <rgm@gnu.org>
5083 * vc/ediff-init.el (ediff-toggle-read-only-function):
5084 * vc/ediff-util.el (ediff-toggle-read-only):
5085 Replace obsolete toggle-read-only with read-only-mode.
5087 2014-07-24 Michael Albinus <michael.albinus@gmx.de>
5089 * net/tramp-cache.el (tramp-flush-file-function): Wrap the code
5090 with `save-match-data'. (Bug#18095)
5092 2014-07-21 Vincent Belaïche <vincentb1@users.sourceforge.net>
5094 * ses.el (ses-truncate-cell): Use cl-progv instead of eval in
5095 order to ensure that row and col are lexically bound inside the
5098 2014-07-21 Glenn Morris <rgm@gnu.org>
5100 * progmodes/hideif.el (hide-ifdef-mode-submap):
5101 Also substitute read-only-mode.
5102 * bindings.el (mode-line-toggle-read-only):
5103 * bs.el (bs-toggle-readonly):
5104 * buff-menu.el (Buffer-menu-toggle-read-only):
5105 * dired.el (dired-toggle-read-only):
5106 * files.el (view-read-only, find-file-read-only)
5107 (find-file-read-only-other-window)
5108 (find-file-read-only-other-frame):
5109 * progmodes/hideif.el (hide-ifdef-toggle-outside-read-only):
5110 Doc fixes re toggle-read-only.
5112 2014-07-21 Fabián Ezequiel Gallina <fgallina@gnu.org>
5114 * progmodes/python.el: Add comment about pipe buffering and
5115 solutions for missing/delayed output in inferior Python shells.
5118 * progmodes/python.el (python-mode): Don't set
5119 mode-require-final-newline. (Bug#17990)
5121 Make python.el work with IPython automatically. (Bug#15510)
5122 * progmodes/python.el:
5123 (python-shell-completion-setup-code): New value supporting iPython.
5124 (python-shell-completion-string-code): New value supporting iPython.
5125 (python-shell-completion-get-completions): Use them.
5126 (python-shell-completion-module-string-code): Make obsolete.
5127 (python-shell-prompt-input-regexps)
5128 (python-shell-prompt-output-regexps): Add safeguard for ipdb.
5129 (python-shell-output-filter): Fix comment typo.
5131 Fix Python shell prompts detection for remote hosts.
5132 * progmodes/python.el (python-shell-prompt-detect):
5133 Replace call-process with process-file and make it more robust.
5135 Autodetect Python shell prompts. (Bug#17370)
5136 * progmodes/python.el:
5137 (python-shell-interpreter-interactive-arg)
5138 (python-shell-prompt-detect-enabled)
5139 (python-shell-prompt-detect-failure-warning)
5140 (python-shell-prompt-input-regexps)
5141 (python-shell-prompt-output-regexps): New vars.
5142 (python-shell-prompt-calculated-input-regexp)
5143 (python-shell-prompt-calculated-output-regexp): New vars.
5144 (python-shell-get-process-name)
5145 (python-shell-internal-get-process-name)
5146 (python-shell-output-filter)
5147 (python-shell-completion-get-completions): Use them.
5148 (python-shell-prompt-detect)
5149 (python-shell-prompt-validate-regexps): New functions.
5150 (python-shell-prompt-set-calculated-regexps): New function.
5151 (inferior-python-mode): Use it. Also honor overriden
5152 python-shell-interpreter and python-shell-interpreter-args.
5153 (python-shell-make-comint): Honor overriden
5154 python-shell-interpreter and python-shell-interpreter-args.
5155 (python-shell-get-or-create-process): Make it testable by allowing
5156 to call run-python non-interactively.
5157 (python-util-valid-regexp-p): New function.
5158 (python-shell-prompt-regexp, python-shell-prompt-block-regexp)
5159 (python-shell-prompt-output-regexp)
5160 (python-shell-prompt-pdb-regexp): Use it as defcustom :safe.
5162 2014-07-21 Stefan Monnier <monnier@iro.umontreal.ca>
5164 * emacs-lisp/smie.el (smie-config--guess-1): Split from
5166 (smie-config--guess): Use it.
5168 * emacs-lisp/edebug.el: Use nadvice.
5169 (edebug-original-read): Remove.
5170 (edebug--read): Rename from edebug-read and add `orig' arg.
5171 (edebug-uninstall-read-eval-functions)
5172 (edebug-install-read-eval-functions): Use nadvice.
5173 (edebug-read-sexp, edebug-read-storing-offsets, edebug-read-symbol)
5174 (edebug-read-and-maybe-wrap-form1, edebug-instrument-callee)
5175 (edebug-read-string, edebug-read-function): Use just `read'.
5176 (edebug-original-debug-on-entry): Remove.
5177 (edebug--debug-on-entry): Rename from edebug-debug-on-entry and add
5179 (debug-on-entry): Override with nadvice.
5181 * mouse.el (tear-off-window): Rename from mouse-tear-off-window since
5182 it also makes sense to bind it to a non-mouse event.
5184 * vc/vc-bzr.el (vc-bzr-shelve): Make it operate on fileset.
5186 2014-07-19 Stefan Monnier <monnier@iro.umontreal.ca>
5188 * xt-mouse.el (xterm-mouse-event): Don't assume last-click is non-nil
5191 * rect.el (rectangle--string-preview): Don't assume there
5192 a non-nil default (bug#17984).
5194 2014-07-16 Glenn Morris <rgm@gnu.org>
5196 * desktop.el (after-init-hook): Disable startup frame restoration
5197 in non-graphical situations. (Bug#17693)
5199 * vc/vc-dispatcher.el (vc-log-edit): Do set up the log buffer
5200 if it was "empty", or used for a different set of files. (Bug#17884)
5202 2014-07-16 Eli Zaretskii <eliz@gnu.org>
5204 * bindings.el (mode-line-remote): If default-directory is not a
5205 string, don't call file-remote-p on it; instead state in the
5206 help-echo that it is nil. (Bug#17986)
5208 2014-07-14 Daniel Colascione <dancol@dancol.org>
5210 * progmodes/cc-langs.el: Change comments from `cl-macroexpand-all'
5211 to `macroexpand-all'
5213 * progmodes/cc-defs.el (c-lang-defconst-eval-immediately):
5214 Use `macroexpand-all' instead of `cl-macroexpand-all'.
5216 2014-07-12 Paul Eggert <eggert@cs.ucla.edu>
5218 Fix bug: C-x v v discarded existing log message (Bug#17884).
5219 * vc/vc-dispatcher.el (vc-log-edit):
5220 Don't clobber an already-existing log message.
5222 2014-07-12 Glenn Morris <rgm@gnu.org>
5224 * vc/log-edit.el (log-edit-changelog-entries):
5225 Check for a visited-but-never-saved ChangeLog.
5227 2014-07-12 Stefan Monnier <monnier@iro.umontreal.ca>
5229 * vc/log-edit.el (log-edit-changelog-entries): Don't both visiting
5230 a non-existing file (bug#17970).
5232 * faces.el (face-name): Undo last change.
5233 (x-resolve-font-name): Don't call face-name (bug#17956).
5235 2014-07-12 Fabián Ezequiel Gallina <fgallina@gnu.org>
5237 Fix dedenters and electric colon handling. (Bug#15163)
5238 * progmodes/python.el
5239 (python-rx-constituents): Add dedenter and block-ender.
5240 (python-indent-dedenters, python-indent-block-enders): Delete.
5241 (python-indent-context): Return new case for dedenter-statement.
5242 (python-indent-calculate-indentation): Handle new case.
5243 (python-indent-calculate-levels): Fix levels calculation for
5244 dedenter statements.
5245 (python-indent-post-self-insert-function): Fix colon handling.
5246 (python-info-dedenter-opening-block-message): New function.
5247 (python-indent-line): Use it.
5248 (python-info-closing-block)
5249 (python-info-closing-block-message): Remove.
5250 (python-info-dedenter-opening-block-position)
5251 (python-info-dedenter-opening-block-positions)
5252 (python-info-dedenter-statement-p): New functions.
5254 2014-07-11 Dmitry Antipov <dmantipov@yandex.ru>
5256 * files.el (out-of-memory-warning-percentage): New defcustom.
5257 (warn-maybe-out-of-memory): Use it.
5259 2014-07-11 Michael Albinus <michael.albinus@gmx.de>
5261 * subr.el (read-passwd): Use `read-hide-char' if non-nil. Bind it
5262 when calling `read-string'. (Bug#17839)
5264 2014-07-10 Eli Zaretskii <eliz@gnu.org>
5266 * files.el (warn-maybe-out-of-memory): Fix the wording of the
5269 2014-07-10 Dmitry Antipov <dmantipov@yandex.ru>
5271 * files.el (warn-maybe-out-of-memory): New function.
5272 (find-file-noselect): Use it.
5274 2014-07-09 Sam Steingold <sds@gnu.org>
5276 * progmodes/cperl-mode.el (cperl-block-p): Treat the perl keyword
5277 `constant' like `bless', `return' &c
5279 2014-07-09 Stefan Monnier <monnier@iro.umontreal.ca>
5281 * rect.el (apply-on-rectangle): Check forward-line really moved to the
5284 2014-07-09 Stefan Monnier <monnier@iro.umontreal.ca>
5286 * progmodes/sh-script.el (sh-smie-sh-rules): Don't align with a && in
5287 the middle of a line (bug#17896).
5289 2014-07-09 Juri Linkov <juri@jurta.org>
5291 * startup.el (command-line): Append displaying the warning about
5292 the errors in the init file to the end of `after-init-hook'.
5295 * faces.el (face-name): Return input arg `face' as-is
5296 when it's not a symbol.
5297 (x-resolve-font-name): Don't check if the face is a symbol.
5300 * facemenu.el (list-colors-print): In help-echo format use %.2f
5301 instead of %d because now HSV values are floating-point components
5302 between 0.0 and 1.0.
5304 2014-07-09 Glenn Morris <rgm@gnu.org>
5306 * emulation/cua-rect.el (cua--activate-rectangle):
5307 Avoid setting cua--rectangle to nil. (Bug#17877)
5309 2014-07-09 Stephen Berman <stephen.berman@gmx.net>
5311 * calendar/todo-mode.el: Fix wrong-type-argument error when
5312 marking multiple consecutive items.
5313 (todo-toggle-mark-item): Don't try to mark the empty lines at the
5314 end of the todo and done items sections. Note in doc string that
5315 items marked by passing a numeric prefix argument can include the
5316 last todo and first done items.
5317 (todo-mark-category): Don't try to mark the empty line between the
5318 todo and done items sections.
5320 2014-07-09 Stefan Monnier <monnier@iro.umontreal.ca>
5322 * emacs-lisp/edebug.el (edebug-eval-defun): Print result using
5323 proper Lisp quoting (bug#17934).
5325 * progmodes/ruby-mode.el (ruby-mode-variables): Don't meddle with
5326 require-final-newline since prog-mode already took care of it (bug#17947).
5328 2014-07-09 Stephen Berman <stephen.berman@gmx.net>
5330 * calendar/todo-mode.el: Fix two bugs. Shorten Commentary and
5331 refer to the Todo mode Info manual. Update the comment on
5333 (todo-find-filtered-items-file): Add todo-prefix overlays.
5334 (todo-filter-items): Reorder a let-bound variable to avoid a
5335 wrong-type-argument error on canceling the file choice dialog.
5337 2014-07-09 Stefan Monnier <monnier@iro.umontreal.ca>
5339 * progmodes/octave.el (inferior-octave-mode):
5340 Set comint-input-ring-size to a number (bug#17912).
5342 2014-07-09 Juri Linkov <juri@jurta.org>
5344 * desktop.el (desktop-minor-mode-table): Add `defining-kbd-macro'
5345 and `isearch-mode' associated with nil. (Bug#17849)
5347 2014-07-08 Stefan Monnier <monnier@iro.umontreal.ca>
5349 * linum.el (linum--face-height): New function (bug#17813).
5350 (linum-update-window): Use it to adjust margin to linum's width.
5352 * leim/quail/sisheng.el (sisheng-list): Don't bother with-case-table.
5353 * eshell/em-smart.el (eshell-smart-scroll-window):
5354 Use with-selected-window.
5356 * xt-mouse.el (xterm-mouse-translate-1): Intern drag event (bug#17894).
5357 Remove also pointless window&mark manipulation.
5359 * progmodes/perl-mode.el: Use syntax-ppss; fix one indentation case.
5360 (perl-indent-line): Use syntax-ppss to detect we're in a doc-section.
5361 (perl-continuation-line-p): Don't skip over anything else than labels.
5362 Return the previous char.
5363 (perl-calculate-indent): Use syntax-ppss instead of parse-start
5364 and update callers accordingly. For continuation lines, check the
5365 the case of array hashes.
5366 (perl-backward-to-noncomment): Make it non-interactive.
5367 (perl-backward-to-start-of-continued-exp): Rewrite.
5369 2014-07-08 Sam Steingold <sds@gnu.org>
5371 * progmodes/inf-lisp.el (lisp-eval-paragraph, lisp-eval-form-and-next):
5374 2014-07-08 Juri Linkov <juri@jurta.org>
5376 * vc/vc-annotate.el (vc-annotate-background-mode): New defcustom.
5377 (vc-annotate-color-map): Use less saturated colors (20%) for
5379 (vc-annotate-very-old-color): Add default value for background-mode.
5380 (vc-annotate-background): Set default value to nil since now text on
5381 the default backgrounds should be legible in light and dark modes.
5382 (vc-annotate-lines): Use `vc-annotate-background-mode'. Doc fix.
5385 2014-07-08 Juri Linkov <juri@jurta.org>
5387 * simple.el (transpose-chars): Don't move point into read-only area.
5390 2014-07-08 Juri Linkov <juri@jurta.org>
5392 * window.el (with-displayed-buffer-window): New macro.
5393 (with-temp-buffer-window, with-current-buffer-window):
5394 Use `macroexp-let2' to evaluate and bind variables
5395 in the same order as macro arguments.
5396 (display-buffer--action-function-custom-type):
5397 Add `display-buffer-below-selected' and `display-buffer-at-bottom'.
5399 * minibuffer.el (minibuffer-completion-help): Replace
5400 `with-output-to-temp-buffer' with `with-displayed-buffer-window'
5401 with actions that display *Completions* at-bottom when called
5402 from the minibuffer, or below-selected in a normal buffer.
5403 Associate `window-height' with `fit-window-to-buffer'.
5404 Let-bind `pop-up-windows' to nil.
5406 * dired.el (dired-mark-pop-up): Use `with-displayed-buffer-window'
5407 instead of `with-current-buffer-window'. (Bug#17809)
5409 2014-07-07 Luke Lee <luke.yx.lee@gmail.com>
5411 * progmodes/hideif.el (hide-ifdef-env): Change to global.
5412 (hide-ifdef-env-backup): New variable.
5413 (hide-ifdef-expand-reinclusion-protection, hide-ifdef-header-regexp):
5414 New customizable variables.
5415 (hif-clear-all-ifdef-defined): New defun.
5416 (hif-merge-ifdef-region, hide-ifdef-region-internal, hide-ifdef-region)
5417 (hif-show-ifdef-region): Merge hidden regions to prevent continuous "...".
5418 (hif-tokenize): Fix for MS-DOS/Win EOL style.
5419 (hif-endif-to-ifdef, hif-make-range, hif-find-range, hif-possibly-hide):
5420 Fix bug to hide the correct #elif region(s).
5421 (hif-range-elif): New defun.
5422 (hif-recurse-level): New var.
5423 (hif-evaluate-region, hif-evaluate-macro): New defun.
5424 (hide-ifdef-guts): Prevent reinclusion protected C/C++ headers from
5426 (hide-ifdef-define, hide-ifdefs, hide-ifdef-block, show-ifdef-block):
5429 2014-07-04 Michael Albinus <michael.albinus@gmx.de>
5431 * net/dbus.el (dbus-peer-handler): New defun.
5432 (dbus-register-service): Register it. (Bug#17858)
5433 (dbus-managed-objects-handler): Fix docstring.
5435 2014-07-04 Phil Sainty <psainty@orcon.net.nz>
5437 * emacs-lisp/lisp.el (narrow-to-defun-include-comments): New var.
5438 (narrow-to-defun): New arg include-comments, defaulting to it
5441 2014-07-03 Stefan Monnier <monnier@iro.umontreal.ca>
5443 * rect.el (rectangle--highlight-for-redisplay): Don't pass `orig' with
5444 different calling convention to rectangle--unhighlight-for-redisplay.
5446 2014-07-03 Michael Albinus <michael.albinus@gmx.de>
5448 * net/tramp.el (tramp-call-process): Handle error strings.
5450 * net/tramp-adb.el (tramp-adb-sh-fix-ls-output): Use `bolp'.
5452 * net/tramp-sh.el (tramp-sh-handle-set-visited-file-modtime)
5453 (tramp-sh-handle-verify-visited-file-modtime): Use `point-at-eol'.
5455 * net/trampver.el: Update release number.
5457 2014-07-03 Juri Linkov <juri@jurta.org>
5459 * desktop.el (desktop-save): Rename arg `auto-save' to
5460 `only-if-changed'. Doc fix. (Bug#17873)
5462 2014-07-03 Stefan Monnier <monnier@iro.umontreal.ca>
5464 * mouse.el (mouse-yank-primary, mouse-yank-secondary):
5465 Use insert-for-yank (bug#17271).
5467 2014-07-03 Leo Liu <sdl.web@gmail.com>
5469 * emacs-lisp/pp.el (pp-eval-expression, pp-eval-last-sexp):
5470 Support lexical-binding.
5472 2014-07-03 Stefan Monnier <monnier@iro.umontreal.ca>
5474 * vc/log-edit.el (log-edit-goto-eoh): New function.
5475 (log-edit--match-first-line): Use it (bug#17861).
5477 2014-07-03 Glenn Morris <rgm@gnu.org>
5479 * vc/log-edit.el (log-edit-hook): Add missing :version.
5481 2014-07-03 Fabián Ezequiel Gallina <fgallina@gnu.org>
5483 * progmodes/python.el (python-indent-post-self-insert-function):
5484 Enhancements to electric indentation behavior inside
5487 2014-07-03 Stefan Monnier <monnier@iro.umontreal.ca>
5489 * ps-def.el (ps-generate-postscript-with-faces1): Don't mess with
5490 buffer-invisibility-spec (bug#17867).
5492 2014-07-03 Andreas Schwab <schwab@linux-m68k.org>
5494 * vc/vc-git.el (vc-git-checkin): When operating on the whole tree
5497 2014-07-03 Glenn Morris <rgm@gnu.org>
5499 * cus-edit.el (help):
5500 * finder.el (finder-known-keywords):
5501 * help.el (help-for-help-internal):
5502 * vc/ediff-mult.el (ediff-meta-buffer-verbose-message)
5503 (ediff-redraw-registry-buffer):
5504 * vc/ediff-ptch.el (ediff-patch-file-internal):
5505 Doc fixes re "online" help. (Bug#17803)
5507 * progmodes/idlwave.el (idlwave): Update url-link for custom group.
5508 (idlwave-mode): Doc URL update.
5510 2014-07-01 Juri Linkov <juri@jurta.org>
5512 * man.el: Display man pages immediately and use process-filter
5513 to format them asynchronously.
5514 (Man-width): Doc fix.
5516 (Man-start-calling): Use `with-selected-window' to get
5517 `frame-width' and `window-width'.
5518 (Man-getpage-in-background): Call `Man-notify-when-ready'
5519 immediately after creating a new buffer. Call `Man-mode' and set
5520 `mode-line-process' in the created buffer. Set process-filter to
5521 `Man-bgproc-filter' in start-process branch. In call-process branch
5522 call either `Man-fontify-manpage' or `Man-cleanup-manpage'.
5523 Use `Man-start-calling' inside `with-current-buffer'.
5524 (Man-fontify-manpage): Don't print messages. Fix boundary condition.
5525 (Man-cleanup-manpage): Don't print messages.
5526 (Man-bgproc-filter): New function.
5527 (Man-bgproc-sentinel): Add `save-excursion' to keep point when
5528 user moved it during asynchronous formatting. Move calls of
5529 `Man-fontify-manpage' and `Man-cleanup-manpage' to
5530 `Man-bgproc-filter'. Move the call of `Man-mode' to
5531 `Man-getpage-in-background'. Use `quit-restore-window'
5532 instead of `kill-buffer'. Use `message' instead of `error'
5533 because errors are caught by process sentinel.
5534 (Man-mode): Move calls of `Man-build-page-list',
5535 `Man-strip-page-headers', `Man-unindent', `Man-goto-page' to
5536 `Man-bgproc-sentinel'. Doc fix. (Bug#2588, bug#5054, bug#9084, bug#17831)
5538 * man.el (Man-bgproc-sentinel): Use `Man-page-from-arguments'
5539 for the message about the man page cleaned up.
5541 2014-07-01 Mario Lang <mlang@delysid.org>
5543 * net/gnutls.el (gnutls-negotiate): Prevent destructive modification of
5544 cosutomization option `gnutls-verify-error'.
5546 2014-07-01 Stefan Monnier <monnier@iro.umontreal.ca>
5548 * simple.el (deactivate-mark, set-mark-command, handle-shift-selection):
5549 Don't keep transient-mark-mode buffer-local when not needed (bug#6316).
5551 * xt-mouse.el (turn-on-xterm-mouse-tracking-on-terminal)
5552 (turn-off-xterm-mouse-tracking-on-terminal): Don't burp if the terminal
5553 is suspended (bug#17857).
5555 2014-07-01 Michael Albinus <michael.albinus@gmx.de>
5557 * net/tramp-sh.el (tramp-open-connection-setup-interactive-shell):
5558 Prefer utf-8 coding. (Bug#17859)
5560 2014-06-30 Fabián Ezequiel Gallina <fgallina@gnu.org>
5562 * emacs-lisp/subr-x.el (string-reverse): Define as obsolete alias
5565 2014-06-30 Glenn Morris <rgm@gnu.org>
5567 * emacs-lisp/autoload.el (autoload-ensure-writable): New variable.
5568 (autoload-ensure-default-file): Maybe make existing output writable.
5569 * Makefile.in (AUTOGEN_VCS): Remove.
5570 (autoloads): Use autoload-ensure-writable rather than AUTOGEN_VCS.
5572 2014-06-30 Fabián Ezequiel Gallina <fgallina@gnu.org>
5574 * emacs-lisp/subr-x.el (string-reverse): Use `reverse'.
5576 2014-06-30 Fabián Ezequiel Gallina <fgallina@gnu.org>
5578 New if-let, when-let, thread-first and thread-last macros.
5580 * emacs-lisp/subr-x.el
5581 (internal--listify, internal--check-binding)
5582 (internal--build-binding-value-form, internal--build-binding)
5583 (internal--build-bindings): New functions.
5584 (internal--thread-argument, thread-first, thread-last)
5585 (if-let, when-let): New macros.
5587 2014-06-30 Grégoire Jadi <daimrod@gmail.com>
5589 * net/rcirc.el (rcirc-buffer-process): Restore previous
5590 behaviour. (Bug#17772)
5592 2014-06-29 Alan Mackenzie <acm@muc.de>
5594 Don't call c-parse-state when c++-template-syntax-table is active.
5595 * progmodes/cc-engine.el (c-guess-continued-construct CASE G)
5596 (c-guess-basic-syntax CASE 5D.3): Rearrange so that
5597 c-syntactic-skip-backwards isn't called with the pertinent syntax table.
5599 2014-06-28 Stephen Berman <stephen.berman@gmx.net>
5601 * calendar/todo-mode.el (todo-set-top-priorities): Fix logic to
5602 account for file-wide setting of todo-top-priorities-overrides.
5603 Make code a bit cleaner.
5605 2014-06-28 Glenn Morris <rgm@gnu.org>
5607 * net/eww.el (eww-mode) <eww-current-title>: Make local. (Bug#17860)
5609 2014-06-28 Stephen Berman <stephen.berman@gmx.net>
5611 * calendar/todo-mode.el (todo-prefix-overlays): If there is no
5612 category-wide setting of todo-top-priorities-overrides, check for
5613 a file-wide setting and fontify accordingly.
5615 2014-06-28 Glenn Morris <rgm@gnu.org>
5617 * subr.el (read-passwd): Warn about batch mode. (Bug#17839)
5619 2014-06-28 Stefan Monnier <monnier@iro.umontreal.ca>
5621 * progmodes/hideif.el: Use lexical-binding. Fix up cl-lib usage.
5623 2014-06-28 K. Handa <handa@gnu.org>
5627 * composite.el: Setup composition-function-table for dotted circle.
5628 (compose-gstring-for-dotted-circle): New function.
5630 * international/characters.el: Add category "^" to all
5631 non-spacing characters.
5633 2014-06-28 Glenn Morris <rgm@gnu.org>
5635 * Makefile.in (doit): Remove force rule.
5636 (custom-deps, finder-data, autoloads, update-subdirs)
5637 (compile-one-process): PHONY targets do not need force rules.
5639 * Makefile.in (compile-main, compile, compile-always):
5640 No need to explicitly pass variables to ourself in recursive calls.
5642 2014-06-28 Stefan Monnier <monnier@iro.umontreal.ca>
5644 * files.el (minibuffer-with-setup-hook): Evaluate the first arg eagerly.
5646 2014-06-26 Glenn Morris <rgm@gnu.org>
5648 * Makefile.in (update-authors): Update for moved authors.el.
5650 2014-06-26 Leo Liu <sdl.web@gmail.com>
5652 * skeleton.el (skeleton-end-hook): Default to nil and move the
5653 work to skeleton-insert. (Bug#17850)
5655 2014-06-26 Dmitry Antipov <dmantipov@yandex.ru>
5657 * calc/calc-alg.el (math-beforep):
5658 * progmodes/cc-guess.el (c-guess-view-reorder-offsets-alist-in-style):
5659 Simplify because string-lessp can accept symbols as args.
5661 2014-06-26 Daiki Ueno <ueno@gnu.org>
5663 * emacs-lisp/package.el (package--check-signature):
5664 If package-check-signature is allow-unsigned, don't signal error when
5665 we can't verify signature because of missing public key
5668 2014-06-26 Glenn Morris <rgm@gnu.org>
5670 * emacs-lisp/cl-macs.el (help-add-fundoc-usage):
5671 Remove outdated declaration.
5673 * emacs-lisp/authors.el (authors-valid-file-names)
5674 (authors-renamed-files-alist): Additions.
5676 2014-06-26 Leo Liu <sdl.web@gmail.com>
5678 * textmodes/picture.el (picture-set-tab-stops):
5679 * ruler-mode.el (ruler-mode-mouse-add-tab-stop)
5680 (ruler-mode-ruler): Fix to work with nil tab-stop-list.
5682 * progmodes/asm-mode.el (asm-calculate-indentation):
5683 Use indent-next-tab-stop.
5685 * indent.el (indent-accumulate-tab-stops): New function.
5687 2014-06-26 Stefan Monnier <monnier@iro.umontreal.ca>
5689 * emacs-lisp/package.el (package-list-unsigned): New var (bug#17625).
5690 (package-desc-status): Obey it.
5692 2014-06-26 Stephen Berman <stephen.berman@gmx.net>
5694 * calendar/todo-mode.el: Fix two bugs.
5695 (todo-insert-item--basic): If user cancels item insertion to
5696 another category before setting priority, show original category
5697 whether it is in the same or a different file.
5698 (todo-set-item-priority): After selecting category, instead of
5699 moving point to top, which extends an active region, restore it.
5701 2014-06-26 Stefan Monnier <monnier@iro.umontreal.ca>
5703 * help-fns.el (describe-function-1): Check file-name is a string before
5704 calling help-fns--autoloaded-p (bug#17564).
5706 2014-06-26 Juri Linkov <juri@jurta.org>
5708 * desktop.el (desktop-auto-save-enable)
5709 (desktop-auto-save-disable): New functions.
5710 (desktop-save-mode, desktop-auto-save-timeout): Use them.
5711 (desktop-read): Disable the autosave before loading the desktop,
5712 and enable afterwards. (Bug#17351)
5714 2014-06-26 Stefan Monnier <monnier@iro.umontreal.ca>
5716 Fix some indentation problem with \; and pipes (bug#17842).
5717 * progmodes/sh-script.el (sh-mode-syntax-table): Set syntax of ;|&.
5718 (sh-smie--default-forward-token, sh-smie--default-backward-token):
5720 (sh-smie-sh-forward-token, sh-smie-sh-backward-token)
5721 (sh-smie-rc-forward-token, sh-smie-rc-backward-token): Use them.
5722 (sh-smie-sh-rules): Fix indentation of a pipe at BOL.
5724 2014-06-26 Glenn Morris <rgm@gnu.org>
5726 * emacs-lisp/find-func.el (find-function-C-source-directory):
5727 Use file-accessible-directory-p.
5729 * ps-samp.el: Make it slightly less awful.
5730 (ps-rmail-mode-hook, ps-gnus-article-prepare-hook, ps-vm-mode-hook):
5731 (ps-gnus-summary-setup, ps-info-mode-hook): Use [print] key.
5732 Only set local values.
5733 (ps-article-subject, ps-article-author): Use standard functions
5734 like mail-fetch-field.
5735 (ps-info-file, ps-info-node): Use match-string.
5736 (ps-jts-ps-setup, ps-jack-setup): Remove, merging into...
5737 (ps-samp-ps-setup): ... new function.
5739 * progmodes/idlw-shell.el (idlwave-shell-make-temp-file):
5740 Optimize away code unneeded on any modern Emacs.
5742 * emacs-lisp/authors.el: Move to ../admin.
5744 * emacs-lisp/ert.el (ert-summarize-tests-batch-and-exit): New.
5746 2014-06-26 Luke Lee <luke.yx.lee@gmail.com>
5748 * progmodes/hideif.el (hif-string-to-number): Fix return value bug.
5749 (hif-simple-token-only, hif-tokenize): Comment in detail mainly for
5750 performance enhancements.
5751 (hif-parse-if-exp): Rename to `hif-parse-exp'. Enhance for macro
5753 (hif-factor, hif-string-concatenation, intern-safe): Support string
5754 concatenation and argumented macro expansion.
5755 (hif-if-valid-identifier-p, hif-define-operator, hif-flatten)
5756 (hif-expand-token-list, hif-get-argument-list, hif-define-macro)
5757 (hif-delimit, hif-macro-supply-arguments, hif-invoke, hif-canonicalize)
5758 (hif-canonicalize-tokens, hif-place-macro-invocation)
5759 (hif-parse-macro-arglist): Mostly new functions for supporting
5760 argumented macro expansion.
5761 (hif-string-concatenation, hif-stringify, hif-token-concat)
5762 (hif-token-stringification, hif-token-concatenation):
5763 Stringification and concatenation.
5764 (hif-find-next-relevant): Fix comments.
5765 (hif-ifdef-to-endif, hif-looking-at-elif, hif-hide-line): Bug fix for
5766 some cases involving #elif.
5767 (hif-find-define, hif-add-new-defines): New functions for automatically
5768 scanning of defined symbols.
5769 (hide-ifdef-guts): Fix for defined symbol auto scanning.
5770 (hide-ifdef-undef): Fix behavior to match CPP.
5772 2014-06-25 Glenn Morris <rgm@gnu.org>
5774 * Makefile.in ($(lisp)/progmodes/cc-defs.elc)
5775 ($(lisp)/progmodes/cc-fonts.elc, $(lisp)/progmodes/cc-langs.elc)
5776 ($(lisp)/progmodes/cc-vars.elc): Drop hand-written deps on non-cc
5777 files. They are not relevant to the original issue (bug#1004),
5778 and cause unnecessary recompilation (bug#2151).
5780 2014-06-25 Stefan Monnier <monnier@iro.umontreal.ca>
5782 * play/landmark.el: Use lexical-binding and avoid `intangible'.
5783 (landmark--last-pos): New var.
5784 (landmark--intangible-chars): New const.
5785 (landmark--intangible): New function.
5786 (landmark-mode, landmark-move): Use it.
5787 (landmark-mode): Remove properties.
5788 (landmark-plot-square, landmark-point-square, landmark-goto-xy)
5789 (landmark-cross-qtuple):
5790 Don't worry about `intangible' any more.
5791 (landmark-click, landmark-point-y): Same; and don't assume point-min==1.
5792 (landmark-init-display): Don't set `intangible' and `point-entered'.
5793 (square): Remove. Inline it instead.
5794 (landmark--distance): Rename from `distance'.
5795 (landmark-calc-distance-of-robot-from): Rename from
5796 calc-distance-of-robot-from.
5797 (landmark-calc-smell-internal): Rename from calc-smell-internal.
5799 2014-06-25 Dmitry Antipov <dmantipov@yandex.ru>
5801 * files.el (dir-locals-find-file, file-relative-name):
5802 * info.el (Info-complete-menu-item):
5803 * minibuffer.el (completion-table-subvert): Prefer string-prefix-p
5804 to compare-strings to avoid out-of-range errors.
5805 * subr.el (string-prefix-p): Adjust to match strict range
5806 checking in compare-strings.
5808 2014-06-24 Leonard Randall <leonard.a.randall@gmail.com> (tiny change)
5810 * textmodes/reftex-parse.el (reftex-using-biblatex-p): Make search
5811 for comment lines non-greedy and stopping at newlines to fix stack
5812 overflows with large files.
5814 2014-06-24 Eli Barzilay <eli@barzilay.org>
5816 * calculator.el (calculator-last-input): Drop 'ascii-character property
5819 2014-06-24 Leo Liu <sdl.web@gmail.com>
5821 * align.el (align-adjust-col-for-rule): Unbreak due to defaulting
5822 tab-stop-list to nil. (Bug#16381)
5824 * indent.el (indent-next-tab-stop): Rename from indent--next-tab-stop.
5825 (indent-rigidly-left-to-tab-stop)
5826 (indent-rigidly-right-to-tab-stop, tab-to-tab-stop)
5827 (move-to-tab-stop): Change callers.
5829 2014-06-24 Eli Zaretskii <eliz@gnu.org>
5831 * skeleton.el (skeleton-insert): Yet another fix of the doc string
5832 wrt behavior of \n as the first/last element of a skeleton.
5834 2014-06-24 Michael Albinus <michael.albinus@gmx.de>
5836 * net/tramp-adb.el (tramp-adb-handle-process-file):
5837 * net/tramp-sh.el (tramp-sh-handle-process-file):
5838 * net/tramp-smb.el (tramp-smb-handle-process-file): Do not raise
5839 the output buffer when DISPLAY is non-nil. (Bug#17815)
5841 2014-06-24 Glenn Morris <rgm@gnu.org>
5843 * play/landmark.el (landmark-move-down, landmark-move-up):
5844 Fix 2007-10-20 change - preserve horizontal position.
5846 2014-06-23 Sam Steingold <sds@gnu.org>
5848 * simple.el (kill-append): Remove undo boundary depending on ...
5849 (kill-append-merge-undo): New user option.
5851 2014-06-23 Stefan Monnier <monnier@iro.umontreal.ca>
5853 * simple.el (handle-shift-selection, exchange-point-and-mark)
5854 (activate-mark): Set transient-mark-mode buffer-locally (bug#6316).
5855 (transient-mark-mode): Use&set the global value.
5856 * mouse.el (mouse-set-region-1, mouse-drag-track): Idem.
5857 * emulation/edt.el (edt-emulation-off): Save&restore the global
5858 transient-mark-mode setting.
5859 * obsolete/pc-select.el (pc-selection-mode): Use the
5860 transient-mark-mode function.
5862 2014-06-23 Eli Zaretskii <eliz@gnu.org>
5864 * international/fontset.el (script-representative-chars):
5865 Add representative characters for scripts added in Unicode 7.0.
5866 (otf-script-alist): Synchronize with the latest registry of OTF
5869 * international/characters.el (char-script-table): Update for
5870 scripts added and codepoint ranges changed in Unicode 7.0.
5872 2014-06-23 Eli Barzilay <eli@barzilay.org>
5874 * calculator.el (calculator-standard-displayer): Fix bug in use of
5875 `calculator-groupize-number'.
5876 (calculator-funcall): Fix broken `cl-flet' use by moving it into the
5877 `eval' code, so it works in v24.3.1 too.
5878 (calculator-last-input): Comment to clarify purpose.
5880 2014-06-22 Mario Lang <mlang@delysid.org>
5882 * textmodes/rst.el (rst-comment-region): From from -> from.
5884 * net/tramp-adb.el (tramp-adb-send-command-and-check): And and -> and.
5886 2013-06-22 Dmitry Antipov <dmantipov@yandex.ru>
5888 * electric.el (electric-layout-post-self-insert-function):
5889 * emacs-lisp/ert.el (ert--insert-infos):
5890 * obsolete/vi.el (vi-set-mark):
5891 * term.el (term-handle-scroll):
5892 * textmodes/bibtex.el (bibtex-fill-field, bibtex-fill-entry):
5893 * wid-edit.el (widget-editable-list-value-create):
5894 Prefer point-marker to copy-marker of point.
5896 2014-06-21 Fabián Ezequiel Gallina <fgallina@gnu.org>
5898 Fix completion retrieval parsing (bug#17209).
5899 * progmodes/python.el (python-mode):
5900 (python-util-strip-string): New function.
5901 (python-shell-completion-get-completions): Use it.
5903 2014-06-21 Eli Zaretskii <eliz@gnu.org>
5905 * skeleton.el (skeleton-insert): Fix last change.
5907 2014-06-21 Fabián Ezequiel Gallina <fgallina@gnu.org>
5909 Enhancements for outline integration (bug#17796).
5910 * progmodes/python.el (python-mode): Properly set
5911 outline-heading-end-regexp so that comments after colons for
5912 defuns are supported.
5914 2014-06-21 Eli Zaretskii <eliz@gnu.org>
5916 * skeleton.el (skeleton-insert): Doc fix.
5918 2014-06-21 Stefan Monnier <monnier@iro.umontreal.ca>
5920 * emacs-lisp/smie.el (smie-config--guess): Fix typo.
5921 (smie-config-guess): Use smie-config-local so the rules are obeyed
5924 * mouse.el (mouse-drag-line): Don't re-add to unread-comment-events,
5925 since it's already done inside the loop (bug#17819).
5927 2014-06-21 Martin Rudalics <rudalics@gmx.at>
5929 * mouse.el (mouse-drag-line): Re-remove code initially removed
5930 on 2013-03-09 and inadvertently reintroduced on 2013-11-30
5933 2014-06-21 Stefan Monnier <monnier@iro.umontreal.ca>
5935 * progmodes/sh-script.el (sh-smie-sh-rules): For { after &&, don't
5936 align with the surrounding parent (bug#17721).
5938 2014-06-21 Eli Zaretskii <eliz@gnu.org>
5940 * textmodes/texinfo.el (texinfo-mode): Set skeleton-end-newline
5942 (texinfo-insert-block, texinfo-insert-@end)
5943 (texinfo-insert-@example, texinfo-insert-@quotation): Adjust to
5944 local setting of skeleton-end-newline by adding an explicit \n to
5945 the skeletons where appropriate. (Bug#17801)
5947 2014-06-21 Stefan Monnier <monnier@iro.umontreal.ca>
5949 * emacs-lisp/smie.el (smie--hanging-eolp-function): New var.
5950 (smie-indent--hanging-p): Use it.
5951 * progmodes/sh-script.el (sh-set-shell): Set it (bug#17621).
5953 2014-06-21 Leo Liu <sdl.web@gmail.com>
5955 * simple.el (read-quoted-char): Don't let help chars pop up help
5958 2014-06-21 Stefan Monnier <monnier@iro.umontreal.ca>
5960 * progmodes/sh-script.el (sh-smie-sh-rules): Use same rule for && as
5963 * xt-mouse.el (xterm-mouse--read-event-sequence-1000):
5964 Drop unknown events instead of burping.
5966 2014-06-21 Eli Zaretskii <eliz@gnu.org>
5968 * term/w32-win.el (dynamic-library-alist): Support giflib 5.1.0
5969 and later. (Bug#17790)
5971 2014-06-21 Juri Linkov <juri@jurta.org>
5973 * dired.el (dired-mark-pop-up): Let-bind display-buffer-mark-dedicated
5974 to `soft'. (Bug#17554)
5976 2014-06-21 Stefan Monnier <monnier@iro.umontreal.ca>
5978 * delsel.el (electric-newline-and-maybe-indent): Mark it as well
5981 2014-06-21 Dmitry Gutov <dgutov@yandex.ru>
5983 * progmodes/ruby-mode.el (ruby-font-lock-keywords): Don't fontify
5984 `!' in `!~' with `font-lock-negation-char-face'. (Bug#17732)
5986 2014-06-21 Michael Albinus <michael.albinus@gmx.de>
5988 * net/dbus.el (dbus-call-method): Push only non D-Bus events into
5989 `unread-command-events'.
5991 2014-06-19 William Xu <william.xwl@gmail.com>
5993 * progmodes/hideif.el (hif-string-to-number): Don't return float for
5994 hex integer constants (bug#17807).
5996 2014-06-19 Stefan Monnier <monnier@iro.umontreal.ca>
5998 * international/mule-util.el (truncate-string-ellipsis): New var.
5999 (truncate-string-to-width): Use it.
6001 2014-06-19 Robert Brown <robert.brown@gmail.com> (tiny change)
6003 * emacs-lisp/lisp-mode.el (lisp-string-after-doc-keyword-p): New fun.
6004 (lisp-string-in-doc-position-p): New function, extracted from
6005 lisp-font-lock-syntactic-face-function.
6006 (lisp-font-lock-syntactic-face-function): Use them (bug#9130).
6008 2014-06-19 Grégoire Jadi <daimrod@gmail.com>
6010 * net/rcirc.el (rcirc-omit-mode): Fix recenter error. (Bug#17769)
6012 2014-06-18 Stefan Monnier <monnier@iro.umontreal.ca>
6014 * play/bubbles.el (bubbles--initialize, bubbles--show-scores)
6015 (bubbles--game-over): Don't add `intangible' properties since they
6018 2014-06-18 Juri Linkov <juri@jurta.org>
6020 * vc/ediff-init.el (ediff-current-diff-Ancestor)
6021 (ediff-fine-diff-Ancestor, ediff-even-diff-A, ediff-even-diff-B)
6022 (ediff-even-diff-C, ediff-even-diff-Ancestor, ediff-odd-diff-A)
6023 (ediff-odd-diff-B, ediff-odd-diff-C, ediff-odd-diff-Ancestor):
6024 Add `min-colors 88' version with removed black/white foregrounds.
6027 2014-06-18 Juri Linkov <juri@jurta.org>
6029 * vc/diff-mode.el (diff-changed): Empty face definition to use
6030 `diff-removed' and `diff-added' on tty as well. (Bug#10181)
6031 (diff-context): Use darker color on light background and
6032 lighter color on dark background.
6034 2014-06-18 Juri Linkov <juri@jurta.org>
6036 * vc/diff-mode.el (diff-refine-changed): Rename from
6037 `diff-refine-change' for consistency with `diff-changed'.
6038 (diff-refine-change): Add obsolete face alias. (Bug#10181)
6040 * vc/smerge-mode.el (smerge-refined-changed): Rename from
6041 `smerge-refined-change'.
6042 (smerge-refined-change): Add obsolete face alias.
6044 2014-06-17 Stefan Monnier <monnier@iro.umontreal.ca>
6046 * rect.el (rectangle-preview): New custom.
6047 (rectangle): New group.
6048 (rectangle--pos-cols): Add `window' argument.
6049 (rectangle--string-preview-state, rectangle--string-preview-window):
6051 (rectangle--string-flush-preview, rectangle--string-erase-preview)
6052 (rectangle--space-to, rectangle--string-preview): New functions.
6053 (string-rectangle): Use them.
6054 (rectangle--inhibit-region-highlight): New var.
6055 (rectangle--highlight-for-redisplay): Obey it. Make sure
6056 `apply-on-region' uses the point-crutches of the right window.
6057 Use :align-to rather than multiple spaces.
6059 2014-06-16 Andrea Rossetti <andrea.rossetti@gmail.com> (tiny change)
6061 * ruler-mode.el (ruler-mode-window-col)
6062 (ruler-mode-mouse-set-left-margin)
6063 (ruler-mode-mouse-set-right-margin): Fix calculation of column
6064 from mouse position (Bug#17768).
6066 2014-06-16 Ron Schnell <ronnie@driver-aces.com>
6068 * play/dunnet.el (dun-doassign): Fix bug where UNIX variable assignment
6069 without varname or rhs causes crash.
6070 (dun-ftp): Fix bug where blank ftp password is allowed, making it
6071 impossible to win endgame.
6072 (dun-unix-verbs): Add ssh as alias to rlogin, because nobody knows what
6074 (dun-help): Bump version number; update contact info.
6076 2014-06-15 Eli Barzilay <eli@barzilay.org>
6078 * calculator.el (calculator-prompt, calculator-remove-zeros)
6079 (calculator-mode-hook, calculator-operators, calculator-stack)
6080 (calculator-mode): Tweak docstring.
6081 (calculator-user-operators): Tweak docstring, fix a bug in the last
6083 (calculator-displayer): `std' case has an optional boolean.
6084 (calculator-displayers): Use the new boolean to group in decimal mode.
6085 (calculator-mode-map, calculator, calculator-message)
6086 (calculator-op-arity, calculator-add-operators)
6087 (calculator-string-to-number, calculator-displayer-prev)
6088 (calculator-displayer-next, calculator-remove-zeros)
6089 (calculator-eng-display, calculator-number-to-string)
6090 (calculator-update-display, calculator-last-input)
6091 (calculator-clear-fragile, calculator-digit, calculator-decimal)
6092 (calculator-exp, calculator-saved-move, calculator-clear)
6093 (calculator-copy, calculator-put-value, calculator-help)
6094 (calculator-expt, calculator-truncate): Minor code improvements.
6095 (calculator-need-3-lines): New function pulling out code from
6097 (calculator-get-display): Rename from `calculator-get-prompt', and
6099 (calculator-push-curnum): Rename from `calculator-curnum-value', and
6100 extended for all uses of it. All callers changed.
6101 (calculator-groupize-number): New utility for splitting a number into
6103 (calculator-standard-displayer): Improve code, new optional argument to
6104 use comma-split groups, make second argument optional too to use with
6105 'left/'right inputs. All callers changed.
6106 (calculator-reduce-stack-once): New utility, doing the meat of what
6107 `calculator-reduce-stack' used to do, much improved (mostly using
6108 `pcase' for conciseness and clarity).
6109 (calculator-reduce-stack): Now doing just the reduction loop using
6110 `calculator-reduce-stack-once'.
6111 (calculator-funcall): Improve code, make it work in v24.3.1 too.
6112 (calculator-last-input): Improve code, remove some old cruft.
6113 (calculator-quit): Kill `calculator-buffer' in electric mode too.
6114 (calculator-integer-p): Remove.
6115 (calculator-fact): Improve code, make it work on non-integer values
6116 too (using truncated numbers).
6118 2014-06-15 Michael Albinus <michael.albinus@gmx.de>
6120 Sync with Tramp 2.2.10.
6122 * net/tramp.el (tramp-methods): Tweak docstring.
6123 (tramp-handle-file-accessible-directory-p): Check for
6124 `file-readable-p' instead of `file-executable-p'.
6125 (tramp-check-cached-permissions):
6126 Use `tramp-compat-file-attributes'.
6127 (tramp-call-process): Add new argument VEC. Adapt callees in all
6130 * net/tramp-adb.el (tramp-adb-handle-write-region): Improve messages.
6131 (tramp-adb-maybe-open-connection): Don't set
6132 `tramp-current-*' variables.
6134 * net/tramp-cache.el (tramp-flush-file-function): Do not flush
6135 file properties of temporary buffers.
6137 * net/tramp-ftp.el (top): Remove special handling for URL syntax.
6139 * net/tramp-gvfs.el (tramp-gvfs-methods) <sftp>: Add.
6140 (tramp-gvfs-handle-delete-file): Flush file
6141 properties, not directory properties.
6142 (tramp-gvfs-handle-file-attributes): Use `string-to-number' when
6143 reading "unix::mode".
6144 (tramp-gvfs-handle-file-name-all-completions):
6145 Use "-h" option for "gvfs-ls".
6146 (tramp-gvfs-url-file-name): `user' and `localname' could be nil.
6147 (tramp-gvfs-send-command): Simplify traces.
6149 * net/tramp-sh.el (vc-handled-backends, vc-bzr-program)
6150 (vc-git-program, vc-hg-program): Declare.
6151 (tramp-methods) <sftp>: Remove. It has never worked satisfactorily.
6152 (tramp-methods) <nc>: Add new method.
6153 (tramp-methods) <telnet>: Redirect stderr to "/dev/null".
6154 (tramp-methods) <plink, plinkx, pscp, psftp>: Improve
6156 (tramp-default-user-alist): Add "nc".
6157 (top): Remove completion function for "sftp". Add completion
6158 functions for "nc" and "psftp".
6159 (tramp-do-copy-or-rename-file-out-of-band): Tweak docstring.
6160 Implement support for "nc" method.
6161 (tramp-sh-handle-expand-file-name, tramp-local-coding-commands)
6162 (tramp-remote-coding-commands, tramp-call-local-coding-command):
6164 (tramp-sh-handle-write-region): Tweak error message.
6165 (tramp-sh-handle-vc-registered): Remove backends when the remote
6166 binary does not exist.
6167 (tramp-find-inline-encoding): Do not raise an error.
6168 (tramp-make-copy-program-file-name): Tweak docstring. Handle also
6169 the "nc" case. Quote result also locally.
6171 * net/tramp-smb.el (tramp-smb-handle-copy-directory)
6172 (tramp-smb-handle-set-file-acl): Use `start-process'.
6173 (tramp-smb-handle-insert-directory): Use progress reporter.
6174 (tramp-smb-handle-rename-file): Flush also file properties of
6177 * net/trampver.el: Update release number.
6179 2014-06-15 Stefan Monnier <monnier@iro.umontreal.ca>
6181 * ses.el: Miscellaneous cleanups; use lexical-binding; avoid
6183 (ses-localvars): Remove ses--local-printer-list, unused.
6184 (ses--metaprogramming): New macro. Use it to defvar variables.
6185 (ses-set-localvars): Simplify.
6186 (ses--locprn, ses-cell): Use defstruct. Change ses-cell's
6187 property-list into an alist.
6188 (ses-locprn-get-compiled, ses-locprn-compiled-aset)
6189 (ses-locprn-get-def, ses-locprn-def-aset, ses-locprn-get-number):
6190 Remove; use defstruct accessors/setters instead.
6191 (ses-cell-formula-aset, ses-cell-printer-aset)
6192 (ses-cell-references-aset): Remove, use setf instead.
6193 (ses--alist-get): New function.
6194 (ses-cell-property): Rename from ses-cell-property-get and rewrite.
6195 Use an alist instead of a plist and don't do move-to-front since the
6196 list is always short.
6197 (ses-cell-property-get-fun, ses-cell-property-delq-fun)
6198 (ses-cell-property-set-fun, ses-cell-property-set)
6199 (ses-cell-property-pop-fun, ses-cell-property-get-handle)
6200 (ses-cell-property-handle-car, ses-cell-property-handle-setcar): Remove.
6201 (ses--letref): New macro.
6202 (ses-cell-property-pop): Rewrite.
6203 (ses--cell): Rename from ses-cell and make it into a function.
6204 Make `formula' fallback on `value' if nil.
6205 (ses--local-printer): Rename from ses-local-printer and make it into
6207 (ses-set-cell): Turn it into a macro so finding the accessor from the
6208 field name is done at compile time.
6209 (ses-repair-cell-reference-all): Test presence of `sym' rather than
6210 `ref' before adding `sym' to :ses-repair-reference.
6211 (ses-calculate-cell): Use ses--letref rather than
6212 ses-cell-property-get-handle.
6213 (ses-write-cells): Use a single prin1-to-string.
6214 (ses-setter-with-undo): New function.
6215 (ses-aset-with-undo, ses-set-with-undo): Rewrite using it.
6216 (ses-unset-with-undo): Remove.
6217 (ses-load): Prefer apply' over `eval'.
6218 (ses-read-printer, ses-set-column-width): Use standard "(default
6221 2014-06-15 Glenn Morris <rgm@gnu.org>
6223 * Makefile.in (leim, semantic): Use `make -C' rather than `cd && make'.
6225 * progmodes/cc-langs.el: Require cl-lib. (Bug#17463)
6226 Replace delete-duplicates and mapcan by cl- versions throughout.
6227 And cl-macroexpand-all by macroexpand-all.
6228 (delete-duplicates, mapcan, cl-macroexpand-all): No need to declare.
6230 2014-06-15 Eli Zaretskii <eliz@gnu.org>
6232 * subr.el (posn-col-row): Doc fix. (Bug#17768)
6234 2014-06-15 Juri Linkov <juri@jurta.org>
6236 * bindings.el: Put `ascii-character' property on keypad keys
6237 mapped to characters. (Bug#17759)
6239 2014-06-15 Stefan Monnier <monnier@iro.umontreal.ca>
6241 * emacs-lisp/smie.el (smie-next-sexp): Fix up "other-end" info when
6242 bumping forward into a closing paren (bug#17761).
6244 * term/xterm.el (xterm--version-handler): Work around for OSX
6245 Terminal.app (bug#17607).
6247 2014-06-14 Ron Schnell <ronnie@driver-aces.com>
6249 * play/dunnet.el (dun-describe-room, dun-mode):
6250 If a lamp is in the room, you won't be eaten by a grue.
6252 2014-06-13 Glenn Morris <rgm@gnu.org>
6254 * Makefile.in ($(lisp)/cus-load.el, $(lisp)/finder-inf.el)
6255 (autoloads, $(lisp)/subdirs.el, compile-main, leim, semantic, compile)
6256 (compile-always): GNU make automatically passes
6257 command-line arguments to sub-makes.
6259 * calendar/calendar.el (calendar-generate-window):
6260 Remove pointless call to font-lock-fontify-buffer.
6262 2014-06-13 Matthias Meulien <orontee@gmail.com>
6264 * simple.el (completion-list-mode-map): Navigate with tab and backtab
6267 2014-06-13 Stefan Monnier <monnier@iro.umontreal.ca>
6269 * simple.el (set-mark-command): Simplify a bit.
6271 2014-06-12 Nicolas Richard <theonewiththeevillook@yahoo.fr>
6273 * help.el (help--key-binding-keymap): New function.
6274 (help--binding-locus): New function.
6275 (describe-key): Mention the keymap in which the binding was
6278 2014-06-12 Stefan Monnier <monnier@iro.umontreal.ca>
6280 * hippie-exp.el (he--all-buffers): New function.
6281 (try-expand-line-all-buffers, try-expand-list-all-buffers)
6282 (try-expand-dabbrev-all-buffers): Use it.
6284 2014-06-12 Emilio C. Lopes <eclig@gmx.net>
6286 * hippie-exp.el (try-expand-line-all-buffers)
6287 (try-expand-list-all-buffers, try-expand-dabbrev-all-buffers):
6288 Read hippie-expand-only-buffers and hippie-expand-ignore-buffers in the
6289 original buffer, in case they're buffer-local.
6291 2014-06-12 Vincent Belaïche <vincentb1@users.sourceforge.net>
6293 * ses.el (ses-initial-global-parameters-re): New defconst, a
6294 specific regexp is needed now that ses.el can handle both
6295 file-format 2 --- ie. no local printers --- and 3 --- i.e. may have
6297 (ses-localvars): Add local variables needed for local printer handling.
6298 (ses-set-localvars): Handle hashmap initialisation.
6299 (ses-paramlines-plist): Add param-line for number of local printers.
6300 (ses-paramfmt-plist): New defconst, needed for code factorization
6301 between functions `ses-set-parameter' and
6302 `ses-file-format-extend-paramter-list'
6303 (ses-make-local-printer-info): New defsubst.
6304 (ses-locprn-get-compiled, ses-locprn-compiled-aset)
6305 (ses-locprn-get-def, ses-locprn-def-aset, ses-locprn-get-number)
6306 (ses-cell-printer-aset): New defmacro.
6307 (ses-local-printer-compile): New defun.
6308 (ses-local-printer): New defmacro.
6309 (ses-printer-validate, ses-call-printer): Add support for local
6311 (ses-file-format-extend-paramter-list): New defun.
6312 (ses-set-parameter): Use const `ses-paramfmt-plist' for code
6314 (ses-load): Add support for local printer functions.
6315 (ses-read-printer): Update docstring and add support for local printer
6317 (ses-refresh-local-printer, ses-define-local-printer): New defun.
6318 (ses-safe-printer): Add support for local printer functions.
6320 2014-06-12 Ivan Andrus <darthandrus@gmail.com>
6322 * ffap.el (ffap-lax-url): New var (bug#17723).
6323 (ffap-url-at-point): Use it.
6324 (ffap-file-at-point): Avoid returning just "/".
6326 2014-06-12 Matthias Meulien <orontee@gmail.com>
6328 * progmodes/python.el (import skeleton): New skeleton (bug#17672).
6329 (python-mode-map): Bind it.
6331 * progmodes/python.el (class skeleton): Don't erase last char of class
6334 2014-06-12 Cameron Desautels <camdez@gmail.com> (tiny change)
6336 * help.el (where-is): Use `default' arg of completing-read (bug#17705).
6338 2014-06-12 Kevin Ryde <user42_kevin@yahoo.com.au>
6340 * files.el (auto-mode-alist): Map .ad files to xdefaults-mode
6343 2014-06-12 Stefan Monnier <monnier@iro.umontreal.ca>
6345 * international/mule-cmds.el: Use lexical-binding.
6346 (ucs-names): Simplify.
6348 2014-05-18 Eric Hanchrow <eric.hanchrow@gmail.com>
6350 * progmodes/python.el (run-python): Use read-shell-command.
6352 2014-06-11 Stefan Monnier <monnier@iro.umontreal.ca>
6354 * rect.el: Make it possible to move bounds past EOL or into TABs.
6355 (operate-on-rectangle): Use apply-on-rectangle.
6356 (rectangle--mark-crutches): New var.
6357 (rectangle--pos-cols, rectangle--col-pos, rectangle--point-col)
6358 (rectangle--crutches, rectangle--reset-crutches): New functions.
6359 (apply-on-rectangle): Obey crutches. Avoid setq.
6360 Fix missing final iteration if end is at EOB&BOL.
6361 (rectangle-mark-mode-map): Add remap bindings for
6362 exchange-point-and-mark and char/line movements.
6363 (rectangle--*-char): New function.
6364 (rectangle-exchange-point-and-mark, rectangle-right-char)
6365 (rectangle-left-char, rectangle-forward-char)
6366 (rectangle-backward-char, rectangle-next-line)
6367 (rectangle-previous-line): New commands.
6368 (rectangle--place-cursor): New function.
6369 (rectangle--highlight-for-redisplay): Use it. Use apply-on-rectangle.
6371 2014-06-08 Glenn Morris <rgm@gnu.org>
6373 * startup.el (initial-buffer-choice): Doc fix.
6374 Reset :version (adding an option does not merit a :version bump).
6376 * bookmark.el (bookmark-load):
6377 * uniquify.el (uniquify-buffer-name-style): Doc fixes.
6379 2014-06-08 Juri Linkov <juri@jurta.org>
6381 * desktop.el: Activate auto-saving on window configuration changes.
6382 (desktop-save-mode, desktop-auto-save-timeout): Add/remove
6383 `desktop-auto-save-set-timer' to/from
6384 `window-configuration-change-hook'.
6385 (desktop-auto-save-set-timer): Change REPEAT arg of
6386 `run-with-idle-timer' from t to nil.
6387 http://lists.gnu.org/archive/html/emacs-devel/2014-06/msg00147.html
6389 2014-06-08 Santiago Payà i Miralta <santiagopim@gmail.com>
6391 * vc/vc-hg.el (vc-hg-working-revision): Use "hg parent" and
6392 vc-hg-command (bug#17570).
6394 2014-06-08 Stefan Monnier <monnier@iro.umontreal.ca>
6396 * international/mule-cmds.el (ucs-names): Add special entry for BEL
6399 2014-06-08 Glenn Morris <rgm@gnu.org>
6401 * startup.el (window-setup-hook): Doc fix.
6403 * emacs-lisp/package.el (package-check-signature)
6404 (package-unsigned-archives): Doc fixes.
6406 2014-06-08 Martin Rudalics <rudalics@gmx.at>
6408 * window.el (display-buffer-use-some-window): Don't make window
6409 used smaller than it was before (Bug#17671).
6411 2014-06-08 Eli Zaretskii <eliz@gnu.org>
6413 * menu-bar.el (menu-bar-open): Fix last change: use the PC
6414 'redisplay' instead of '(sit-for 0)'.
6416 2014-06-08 Michael Albinus <michael.albinus@gmx.de>
6418 * net/tramp.el (tramp-ssh-controlmaster-options):
6419 Improve search regexp. (Bug#17653)
6421 2014-06-08 Glenn Morris <rgm@gnu.org>
6423 * emacs-lisp/package.el (package-pinned-packages): Doc fix.
6425 2014-06-08 Eli Zaretskii <eliz@gnu.org>
6427 * menu-bar.el (menu-bar-open): Fix invocation via M-x.
6429 2014-06-06 Santiago Payà i Miralta <santiagopim@gmail.com>
6431 * vc/vc-hg.el (vc-hg-create-tag, vc-hg-retrieve-tag): New functions
6434 * vc/vc-hg.el (vc-hg-log-graph): New var.
6435 (vc-hg-print-log): Use it.
6436 (vc-hg-root-log-format): Include branch name and bookmarks; ignore
6437 graph output (bug#17515).
6439 2014-06-06 Stefan Monnier <monnier@iro.umontreal.ca>
6441 * mouse.el (mouse-posn-property): Ignore buffer position info when the
6442 even happened elsewhere.
6444 2014-06-06 Mario Lang <mlang@delysid.org>
6446 * emacs-lisp/tabulated-list.el (tabulated-list-print): Only call
6447 `recenter' if `current-buffer' is equal to `window-buffer'.
6449 2014-06-05 Leo Liu <sdl.web@gmail.com>
6451 * emacs-lisp/cl-macs.el (cl-macrolet): Avoid excessive progn's.
6453 2014-06-05 Michal Nazarewicz <mina86@mina86.com>
6455 * textmodes/tildify.el (tildify-foreach-region-outside-env):
6456 New function which calls a callback on portions of the buffer that are
6457 outside of ignored environments.
6458 (tildify-build-regexp): Remove function since it is now
6459 incorporated in `tildify-foreach-region-outside-env' where it is
6460 optimized and simplified by the use of `mapconcat'.
6461 (tildify-tildify): Return number of substitutions made so that…
6462 (tildify-count): …can be removed.
6463 (tildify-find-env): Accept a new PAIRS argument which was
6464 previously looked up in `tildify-ignored-environments-alist' each
6465 time the function was called. With this change, the lookup is
6466 performed only once in `tildify-foreach-region-outside-env'.
6467 (tildify-region): Greatly simplify the function since now most of
6468 the work is done by `tildify-foreach-region-outside-env'.
6469 (tildify-mode-alist): Simplify slightly by avoiding if and setq
6470 and instead using or.
6472 * textmodes/tildify.el (tildify-ignored-environments-alist):
6473 Optimize environments regexes
6475 Each time beginning of an environment to ignore is found,
6476 `tildify-find-env' needs to identify regexp for the ending
6477 of the environment. This is done by trying all the opening
6478 regexes on matched text in a loop, so to speed that up, this
6479 loop should have fewer things to match, which can be done by
6480 using alternatives in the opening regexes.
6482 Coincidentally, this should make matching of the opening
6483 regexp faster as well thanks to the use of `regexp-opt' and
6484 having common prefix pulled from many regexes.
6486 * textmodes/tildify.el (tildify-string-alist)
6487 (tildify-ignored-environments-alist): Add `nxml-mode' to the list
6488 of supported modes since `xml-mode' is no longer a thing but just
6489 an alias to the former. Also include comments and insides of tags
6490 in `tildify-ignored-environments-alist' for XML modes. Finally,
6491 since XML does not define “ ”[1], use a numeric reference for
6492 a no-break space (namely “ ”)
6494 [1] XML specification defines only a handful of predefined entities.
6495 The list is at <http://www.w3.org/TR/REC-xml/#sec-predefined-ent>
6496 and includes only <, >, &, ' and " (meaning <,
6497 >, &, ' and " respectively). This is in contrast to HTML and even
6498 XHTML which defined a whole bunch of entities including “ ”.
6500 * textmodes/tildify.el (tildify-pattern-alist)
6501 (tildify-string-alist, tildify-ignored-environments-alist):
6502 Improve defcustom's types by adding more tags explaining what each
6503 value means and replace “sexp” used in
6504 `tildify-ignored-environments-alist' with a full type declaration.
6506 * textmodes/tildify.el (tildify-find-env): Fix matched group
6507 indexes in end-regex building
6509 When looking for a start of an ignore-environment, the regex is built
6510 by concatenating regexes of all the environments configured in
6511 `tildify-ignored-environments-alist'. So for example, the following
6512 list could be used to match TeX's \verb and \verb* commands:
6514 (("\\\\verb\\(.\\)" . (1))
6515 ("\\\\verb\\*\\(.\\)" . (1)))
6517 This would result in the following regex being used to find the start
6518 of any of the variants of the \verb command:
6520 \\\\verb\\(.\\)\\|\\\\verb\\*\\(.\\)
6522 But now, if “\\\\verb\\*\\(.\\)” matches, the first capture group
6523 won't match anything, and thus (match-string 1) will be nil, which
6524 will cause building of the end-matching regex to fail.
6526 Fix this by using capture groups from the time when the opening
6527 regexes are matched individually.
6529 * textmodes/tildify.el (tildify-find-env): Fix end-regex building
6530 in `tildify-find-env'
6532 The `tildify-ignored-environments-alist' allows the end-regex to
6533 be provided not as a static string but mix of strings and indexes
6534 of groups matched the begin-regex. For example, the “\verb!…!”
6535 TeX-command (where “!” is an arbitrary character) is handled
6538 ("\\\\verb\\*?\\(.\\)" . (1))
6540 In the same way, the following should be supported as well:
6542 ("open-\\(.\\)" . ("end-" 1))
6544 However the tildify-find-env function fails at
6547 (if (stringp (setq aux (car expression)))
6548 expression ; BUG: expression is a list
6549 (regexp-quote (match-string aux))))
6551 where the string part is handled incorrectly.
6553 The most trivial fix would be to replace `expression' in the
6554 true-part of the if-statement with `aux', but instead, this commit
6555 optimizes `tildify-find-env' by changing it to use `mapconcat'
6556 rather than open-coded while-loop.
6558 2014-06-05 Mario Lang <mlang@delysid.org>
6560 * woman.el (woman-mapcan): Remove.
6561 (woman-parse-colon-path): Use cl-mapcan instead.
6563 2014-06-03 Rüdiger Sonderfeld <ruediger@c-plusplus.de>
6565 * register.el: Add link to Emacs manual in Commentary.
6567 2014-06-02 Sam Steingold <sds@gnu.org>
6569 * menu-bar.el (lookup-key-ignore-too-long): Extract from...
6570 (popup-menu): ...here.
6571 (menu-bar-open): Use it to avoid an error when `lookup-key'
6574 2014-06-02 Michael Albinus <michael.albinus@gmx.de>
6576 * net/tramp.el (tramp-call-process): Add traces.
6577 (tramp-handle-unhandled-file-name-directory): Return "/".
6579 2014-06-02 Wilson Snyder <wsnyder@wsnyder.org>
6581 Sync with upstream verilog-mode revision 3cd8144.
6582 * progmodes/verilog-mode.el (verilog-mode-version): Bump.
6583 (verilog-auto-arg-format): New option, to support newlines in AUTOARG.
6584 (verilog-type-font-keywords): Add nor.
6585 (verilog-batch-execute-func): Force reading of Local Variables.
6586 Fix printing "no changes to be saved" with verilog-batch.
6587 (verilog-auto-arg-ports): Doc fix.
6588 Add verilog-auto-arg-format to support newlines in AUTOARG.
6589 (verilog-auto-arg): Doc fix.
6591 2014-06-02 Glenn Morris <rgm@gnu.org>
6593 * emulation/crisp.el, emulation/tpu-edt.el, emulation/tpu-extras.el:
6594 * emulation/tpu-mapper.el, emulation/vi.el, emulation/vip.el:
6595 * emulation/ws-mode.el: Move to obsolete/.
6596 * Makefile.in (AUTOGEN_VCS): Update for moved tpu-edu.el.
6598 2014-06-02 Eli Zaretskii <eliz@gnu.org>
6600 * simple.el (keyboard-quit): Force update of mode lines, to remove
6601 the "Def" indicator, if we were defining a macro. (Bug#17615)
6603 2014-06-02 Stefan Monnier <monnier@iro.umontreal.ca>
6605 * minibuffer.el (minibuffer-force-complete-and-exit):
6606 Obey minibuffer-default (bug#17545).
6608 * progmodes/js.el (js-indent-line): Don't mix columns and chars
6611 * subr.el (set-transient-map): Don't wait for some "nested"
6612 transient-map to finish if we're only supposed to be active for
6613 the next command (bug#17642).
6615 2014-06-02 Leo Liu <sdl.web@gmail.com>
6617 * emacs-lisp/gv.el (window-buffer, window-display-table)
6618 (window-dedicated-p, window-hscroll, window-point, window-start):
6619 Fix gv-expander. (Bug#17630)
6621 2014-06-02 Stefan Monnier <monnier@iro.umontreal.ca>
6623 * mouse.el (mouse-posn-property): Ignore posn-point for mode-line
6626 * leim/quail/latin-pre.el ("latin-2-prefix"): Use ",," rather than ", "
6627 for the single comma, since ", " is *very* common in normal French text
6630 2014-06-02 Glenn Morris <rgm@gnu.org>
6632 * emacs-lisp/package.el (package-check-signature)
6633 (package-unsigned-archives): Fix :version.
6635 2014-06-02 Stefan Monnier <monnier@iro.umontreal.ca>
6637 * subr.el (sit-for): Don't run input-methods (bug#15614).
6639 2014-06-02 Glenn Morris <rgm@gnu.org>
6641 * cus-start.el: Fix some :version numbers.
6643 2014-06-02 Stefan Monnier <monnier@iro.umontreal.ca>
6645 * simple.el (deactivate-mark): Set mark-active to nil even if
6646 deactivation is done via setting transient-mark-mode to nil,
6647 since one is buffer-local and the other is global.
6649 * emacs-lisp/byte-opt.el (byte-optimize-binary-predicate): Don't assume
6650 there can't be more than 2 arguments (bug#17584).
6652 2014-06-02 Glenn Morris <rgm@gnu.org>
6654 * simple.el (filter-buffer-substring-functions)
6655 (filter-buffer-substring-function, buffer-substring-filters)
6656 (filter-buffer-substring, buffer-substring--filter): Doc fixes.
6658 * minibuffer.el (completion-in-region-functions, completion-in-region)
6659 (completion--in-region): Doc fixes.
6661 * abbrev.el (abbrev-expand-functions, abbrev-expand-function)
6662 (expand-abbrev, abbrev--default-expand): Doc fixes.
6664 2014-06-02 Paul Eggert <eggert@cs.ucla.edu>
6666 Include sources used to create macuvs.h.
6667 * international/README: Refer to the Unicode Terms of Use rather
6668 than copying it bodily here, as that simplifies maintenance.
6670 2014-06-01 Glenn Morris <rgm@gnu.org>
6672 * loadup.el (load-prefer-newer): Set non-nil when dumping. (Bug#17629)
6674 2014-05-31 Glenn Morris <rgm@gnu.org>
6676 * files.el (locate-dominating-file): Expand file argument. (Bug#17641)
6678 2014-05-30 Glenn Morris <rgm@gnu.org>
6680 * loadup.el: Treat `command-line-args' more flexibly.
6682 2014-05-30 Alan Mackenzie <acm@muc.de>
6684 Guard (looking-at "\\s!") from XEmacs.
6685 * progmodes/cc-engine.el (c-state-pp-to-literal): Add guard form.
6687 2014-05-30 Ken Olum <kdo@cosmos.phy.tufts.edu>
6689 * mail/rmail.el (rmail-delete-forward, rmail-delete-backward):
6690 The argument COUNT is now optional, to be more backward-compatible.
6691 Doc fix. (Bug#17560)
6693 2014-05-29 Reuben Thomas <rrt@sc3d.org>
6695 * whitespace.el (whitespace-report-region):
6696 Simplify documentation.
6697 (whitespace-report-region): Allow report-if-bogus to take the
6698 value `never', for non-interactive use.
6699 (whitespace-report): Refer to whitespace-report-region's
6702 2014-05-29 Stefan Monnier <monnier@iro.umontreal.ca>
6704 * whitespace.el: Use font-lock-flush. Minimize refontifications.
6705 Side benefit: it works without jit-lock.
6706 (whitespace-point--used): New buffer-local var.
6707 (whitespace-color-on): Initialize it and flush it. Use font-lock-flush.
6708 (whitespace-color-off): Use font-lock-flush.
6709 (whitespace-point--used, whitespace-point--flush-used): New functions.
6710 (whitespace-trailing-regexp, whitespace-empty-at-bob-regexp)
6711 (whitespace-empty-at-eob-regexp): Use them.
6712 (whitespace-post-command-hook): Rewrite.
6714 * font-lock.el (font-lock-flush, font-lock-ensure): New functions.
6715 (font-lock-fontify-buffer): Mark interactive-only.
6716 (font-lock-multiline, font-lock-fontified, font-lock-set-defaults):
6718 (font-lock-specified-p): Remove redundant boundp check.
6719 (font-lock-flush-function, font-lock-ensure-function): New vars.
6720 (font-lock-turn-on-thing-lock): Set them.
6721 (font-lock-default-fontify-buffer): Obey font-lock-dont-widen.
6722 (font-lock-after-change-function): Make `old-len' optional.
6723 (font-lock-set-defaults): Remove redundant `set' of font-lock-defaults.
6724 Call font-lock-flush, just in case.
6725 * progmodes/verilog-mode.el (verilog-preprocess): Disable workaround in
6727 * progmodes/vera-mode.el (vera-fontify-buffer): Declare obsolete.
6728 (vera-mode-map, vera-mode-menu): Remove bindings to it.
6729 * progmodes/idlw-help.el (idlwave-help-fontify): Use font-lock-ensure
6730 and with-syntax-table.
6731 * textmodes/conf-mode.el (conf-quote-normal):
6732 * progmodes/sh-script.el (sh-set-shell):
6733 * progmodes/prog-mode.el (prettify-symbols-mode):
6734 * progmodes/f90.el (f90-font-lock-n):
6735 * progmodes/cwarn.el (cwarn-mode):
6736 * nxml/nxml-mode.el (nxml-toggle-char-ref-extra-display):
6737 * progmodes/compile.el (compilation-setup, compilation--unsetup):
6738 * hi-lock.el (hi-lock-mode, hi-lock-unface-buffer)
6739 (hi-lock-set-pattern, hi-lock-set-file-patterns): Use font-lock-flush.
6740 * mail/rmail.el (rmail-variables): Set font-lock-dont-widen instead of
6741 font-lock-fontify-buffer-function and
6742 font-lock-unfontify-buffer-function.
6743 (rmail-unfontify-buffer-function, rmail-fontify-message):
6744 Use with-silent-modifications.
6745 * htmlfontify.el (hfy-force-fontification): Use jit-lock-fontify-now
6746 and font-lock-ensure.
6747 * bs.el (bs-show-in-buffer): Use font-lock-ensure.
6749 2014-05-28 Thien-Thi Nguyen <ttn@gnu.org>
6751 * emacs-lisp/package.el (package-generate-autoloads):
6752 Inhibit backup files.
6754 2014-05-28 Stefan Monnier <monnier@iro.umontreal.ca>
6756 * progmodes/hideshow.el (hs-hide-all): Call syntax-propertize
6759 2014-05-21 Michal Nazarewicz <mina86@mina86.com>
6761 * textmodes/tildify.el (tildify-buffer, tildify-region):
6762 Add dont-ask option.
6764 2014-05-28 Stefan Monnier <monnier@iro.umontreal.ca>
6766 * subr.el (zerop): Move from C. Add compiler-macro (bug#17475).
6767 * emacs-lisp/byte-opt.el (byte-optimize-zerop): Remove.
6769 * subr.el (internal--funcall-interactively): New.
6770 (internal--call-interactively): Remove.
6771 (called-interactively-p): Detect funcall-interactively instead of
6773 * simple.el (repeat-complex-command): Use funcall-interactively.
6774 (repeat-complex-command--called-interactively-skip): Remove.
6776 2014-05-27 Stefan Monnier <monnier@iro.umontreal.ca>
6778 * register.el (register-read-with-preview): Don't burp on
6779 frame switches (e.g. due to the frame we just popped).
6781 * mouse.el (mouse-set-region): Handle spurious drag events (bug#17562).
6782 (mouse-drag-track): Annotate `mouse-drag-start' so we know we moved.
6784 2014-05-26 Andreas Schwab <schwab@linux-m68k.org>
6786 * cus-face.el (custom-face-attributes): Add :distant-foreground.
6788 2014-05-26 Martin Rudalics <rudalics@gmx.at>
6790 * window.el (window--dump-frame): Remove interactive specification.
6792 2014-05-26 Glenn Morris <rgm@gnu.org>
6794 * hippie-exp.el (he-line-search-regexp):
6795 Handle comint-prompt-regexp containing subgroups. (Bug#17529)
6797 2014-05-26 Stephen Berman <stephen.berman@gmx.net>
6799 * calendar/todo-mode.el: Remove dependence on auto-mode-alist,
6800 to avoid errors when trying to create or visit a file foo.todo
6801 located outside to todo-directory, and to allow having such files
6802 without them being tied to Todo mode (bug#17482).
6803 (todo-show, todo-move-category, todo-merge-category, todo-find-archive)
6804 (todo-archive-done-item, todo-find-filtered-items-file)
6805 (todo-filter-items, todo-find-item, todo-diary-goto-entry)
6806 (todo-category-completions, todo-read-category): When visiting a
6807 Todo file, make sure we're in the right mode and the buffer local
6809 (todo-make-categories-list, todo-reset-nondiary-marker)
6810 (todo-reset-done-string, todo-reset-comment-string):
6811 After processing all Todo files, kill the buffers of those files that
6812 weren't being visited before the processing.
6813 (todo-display-as-todo-file, todo-add-to-buffer-list)
6814 (todo-visit-files-commands): Comment out.
6815 (todo-modes-set-3, todo-mode): Comment out additions to find-file-hook.
6816 (auto-mode-alist): Remove add-to-list calls making Todo file
6817 extensions unrestrictedly tied to Todo modes.
6819 2014-05-26 Stefan Monnier <monnier@iro.umontreal.ca>
6821 * emacs-lisp/nadvice.el (advice--member-p): Change second arg.
6822 (advice-function-member-p): Tell it to check both names and functions
6824 (advice--add-function): Adjust call accordingly.
6826 2014-05-26 Stephen Berman <stephen.berman@gmx.net>
6828 * calendar/todo-mode.el: Miscellaneous bug fixes.
6829 (todo-delete-file): When deleting an archive but not its todo
6830 file, make sure to update the todo file's category sexp.
6831 (todo-move-category): Keep the moved category's name unless the
6832 file moved to already has a category with that name. If the
6833 numerically last category of the source file was moved, make the
6834 first category current to avoid selecting a nonexisting category.
6835 (todo-merge-category): Fix implementation to make merging to a
6836 category in another file work as documented. Eliminate now
6837 insufficient and unnecessary renaming of archive category, correct
6838 document string accordingly, and clarify it. If the numerically
6839 last category of the source file was merged, make the first
6840 category current to avoid selecting a nonexisting category.
6841 (todo-archive-done-item): When there are marked items and point
6842 happens to be on an unmarked item, ignore the latter. Don't leave
6843 point below last item after archiving marked items.
6844 (todo-unarchive-items): Fix logic to ensure unarchiving an item
6845 from an archive with only one category deletes the archive only
6846 when the category is empty after unarchiving. Make sure the todo
6847 file's category sexp is updated.
6848 (todo-read-file-name): Allow an existing file name even when it is
6849 not required (todo-move-category needs this to work as documented).
6850 (todo-add-file): Call todo-validate-name to reject the name of an
6851 existing todo file (needed due to fix in todo-read-file-name).
6852 (todo-reset-nondiary-marker): Also reset in filtered items files.
6853 (todo-reset-done-string, todo-reset-comment-string): Also reset in
6854 regexp filtered items files.
6855 (todo-reset-highlight-item): Also reset in filtered items files.
6856 Fix incorrect variable reference in document string.
6858 2014-05-26 Glenn Morris <rgm@gnu.org>
6860 * window.el (window--dump-frame): Avoid error in --without-x builds.
6862 2014-05-26 Glenn Morris <rgm@gnu.org>
6864 * nxml/nxml-mode.el (xml-mode): Only define this alias once.
6866 2014-05-26 Eli Zaretskii <eliz@gnu.org>
6868 * frame.el (set-frame-font): Doc fix.
6870 * menu-bar.el (menu-set-font): Doc fix. (Bug#17532)
6872 2014-05-26 Dmitry Gutov <dgutov@yandex.ru>
6874 * emacs-lisp/package.el (package--download-one-archive):
6875 Use `write-region' instead of `save-buffer' to avoid running various
6877 (describe-package-1): Same. Insert newline at the end of the
6878 buffer if appropriate.
6880 2014-05-26 Juri Linkov <juri@jurta.org>
6882 * avoid.el (mouse-avoidance-set-mouse-position): Don't raise frame.
6883 (mouse-avoidance-ignore-p): Remove `switch-frame', add `focus-out'.
6884 Add more modifiers: meta, control, shift, hyper, super, alt.
6887 * avoid.el (mouse-avoidance-banish-position): Fix defcustom :options
6888 to allow changing its value with `set-variable'.
6890 2014-05-26 Stefan Monnier <monnier@iro.umontreal.ca>
6892 * progmodes/scheme.el (scheme-mode-syntax-table): Remove hack for
6894 (scheme-syntax-propertize, scheme-syntax-propertize-sexp-comment):
6896 (scheme-mode-variables): Set syntax-propertize-function instead of
6897 font-lock-syntactic-face-function.
6898 (scheme-font-lock-syntactic-face-function): Delete.
6900 * emacs-lisp/lisp.el (end-of-defun): Ensure we move (bug#17274).
6902 * emacs-lisp/timer.el (timer-event-handler): Don't run if canceled
6905 2014-05-26 Michael Albinus <michael.albinus@gmx.de>
6907 * net/tramp-sh.el (tramp-find-inline-encoding): Do not match "%%t"
6908 for a temporary file name.
6910 2014-05-26 Eli Zaretskii <eliz@gnu.org>
6912 * simple.el (line-move-ignore-invisible): Doc fix. (Bug#17511)
6914 2014-05-26 Michael Albinus <michael.albinus@gmx.de>
6916 * net/dbus.el (dbus-init-bus, dbus-call-method)
6917 (dbus-call-method-asynchronously, dbus-send-signal)
6918 (dbus-method-return-internal, dbus-method-error-internal):
6919 Check, whether Emacs has been compiled with D-Bus support. (Bug#17508)
6921 2014-05-26 Nicolas Richard <theonewiththeevillook@yahoo.fr>
6923 * emacs-lisp/eieio-opt.el (eieio-help-class): Correctly deal with
6924 methods which do not have a doc string. (Bug#17490)
6926 2014-05-25 Tassilo Horn <tsdh@gnu.org>
6928 * textmodes/reftex-ref.el (reftex-format-special): Make it work
6929 also for AMS Math's \eqref macro.
6931 2014-05-25 Thien-Thi Nguyen <ttn@gnu.org>
6933 Arrange to never byte-compile the generated -pkg.el file.
6935 * emacs-lisp/package.el (package-generate-description-file):
6936 Output first-line comment to set buffer-local var `no-byte-compile'.
6937 Suggested by Dmitry Gutov:
6938 <http://lists.gnu.org/archive/html/emacs-devel/2014-05/msg00401.html>.
6940 2014-05-25 Thien-Thi Nguyen <ttn@gnu.org>
6942 Fix bug: Properly quote args to generated -pkg.el `define-package'.
6944 * emacs-lisp/package.el (package-generate-description-file):
6945 Inline `package--alist-to-plist'; rewrite to selectively
6946 quote alist values that are not self-quoting.
6947 (package--alist-to-plist): Delete func.
6949 2014-05-25 Andreas Schwab <schwab@linux-m68k.org>
6951 * term/xterm.el (xterm-function-map): Add mapping for shifted
6954 2014-05-24 Daniel Colascione <dancol@dancol.org>
6956 * progmodes/subword.el (subword-find-word-boundary): Move point to
6957 correct spot before search. (Bug#17580)
6959 * emacs-lisp/nadvice.el (defun): Write in eval-and-compile to avoid
6962 2014-05-24 Leo Liu <sdl.web@gmail.com>
6964 * calc/calc.el (math-bignum): Handle most-negative-fixnum. (Bug#17556)
6966 2014-05-23 Stefan Monnier <monnier@iro.umontreal.ca>
6968 * minibuffer.el (completion--sreverse): Remove.
6969 (completion--common-suffix): Use `reverse' instead.
6970 * emacs-lisp/regexp-opt.el (regexp-opt-group): Use `reverse' on strings.
6972 2014-05-22 Glenn Morris <rgm@gnu.org>
6974 * shell.el (shell-mode) <shell-dirstack-query>: Bypass bash aliases.
6976 2014-05-21 Daniel Colascione <dancol@dancol.org>
6978 * files.el (interpreter-mode-alist): Add mksh.
6980 * progmodes/sh-script.el (sh-ancestor-alist): Add mksh, a pdksh
6982 (sh-alias-alist): Alias /system/bin/sh (Android's system shell) to
6983 mksh. Improve custom spec; allow regular expressions.
6984 (sh-shell): Delegate name splitting to `sh-canonicalize-shell'.
6985 (sh-after-hack-local-variables): New function.
6986 (sh-mode): Use it; respect file-local `sh-shell' variable. (Bug#17333)
6987 (sh-set-shell): Use `sh-canonicalize-shell' instead of open-coding
6989 (sh-canonicalize-shell): Rewrite to support regexes.
6991 2014-05-21 Leo Liu <sdl.web@gmail.com>
6993 * emacs-lisp/cl-lib.el (cl-endp): Fix last change.
6995 2014-05-19 Leo Liu <sdl.web@gmail.com>
6997 * emacs-lisp/cl-lib.el (cl-endp): Conform to CL's semantics.
6999 2014-05-18 Glenn Morris <rgm@gnu.org>
7002 * play/gametree.el: `track-mouse' is always defined since 2012-11-24.
7004 2014-05-14 Sam Steingold <sds@gnu.org>
7006 * progmodes/python.el (python-shell-get-or-create-process):
7007 Do not bind `current-prefix-arg' so that C-c C-z does not talk
7008 back unless requested.
7010 2014-05-14 Glenn Morris <rgm@gnu.org>
7012 * subr.el (with-file-modes): New macro.
7013 * printing.el (pr-save-file-modes): Make obsolete.
7014 * eshell/esh-util.el (eshell-with-file-modes): Make obsolete.
7015 * emacs-lisp/lisp-mode.el (lisp-el-font-lock-keywords-2):
7016 Add with-file-modes.
7017 * doc-view.el (doc-view-make-safe-dir):
7018 * epg.el (epg--start):
7019 * files.el (locate-user-emacs-file, make-temp-file)
7020 (backup-buffer-copy, move-file-to-trash):
7021 * printing.el (pr-despool-print, pr-call-process, pr-text2ps):
7022 * eshell/esh-util.el (eshell-with-private-file-modes)
7023 (eshell-make-private-directory):
7024 * net/browse-url.el (browse-url-mosaic):
7025 * obsolete/mailpost.el (post-mail-send-it):
7026 * obsolete/pgg-pgp.el (pgg-pgp-verify-region):
7027 * obsolete/pgg-pgp5.el (pgg-pgp5-verify-region):
7028 Use with-file-modes.
7030 * vc/emerge.el (emerge-make-temp-file): Simplify.
7032 2014-05-14 Stephen Berman <stephen.berman@gmx.net>
7033 Stefan Monnier <monnier@iro.umontreal.ca>
7035 * minibuffer.el (completion-pcm--merge-try): Merge trailing / with
7038 2014-05-14 Glenn Morris <rgm@gnu.org>
7040 * vc/emerge.el (emerge-temp-file-prefix):
7041 Make pointless option obsolete.
7042 (emerge-temp-file-mode): Make non-functional option obsolete.
7044 2014-05-14 Michael Albinus <michael.albinus@gmx.de>
7046 * net/browse-url.el (browse-url):
7047 Use `unhandled-file-name-directory' when setting `default-directory',
7048 in order to circumvent stalled remote connections. (Bug#17425)
7050 2014-05-14 Glenn Morris <rgm@gnu.org>
7052 * printing.el (subst-char-in-string, make-temp-file, pr-get-symbol):
7053 Optimize on Emacs, which has the relevant functions for ages.
7055 2014-05-13 Stefan Monnier <monnier@iro.umontreal.ca>
7057 * simple.el (undo-make-selective-list): Obey undo-no-redo.
7059 2014-05-12 Sam Steingold <sds@gnu.org>
7061 * calendar/time-date.el (seconds-to-string): New function to
7062 pretty print time delay in seconds.
7064 2014-05-12 Stefan Monnier <monnier@iro.umontreal.ca>
7066 * mpc.el (mpc-format): Trim Date to the year.
7067 (mpc-songs-hashcons): Shorten the Date field.
7069 * emacs-lisp/nadvice.el (advice--interactive-form): Don't get fooled
7070 into autoloading just because of a silly indirection.
7072 2014-05-12 Santiago Payà i Miralta <santiagopim@gmail.com>
7074 * vc/vc-hg.el (vc-hg-unregister): New function. (Bug#17454)
7076 2014-05-12 Glenn Morris <rgm@gnu.org>
7078 * emacs-lisp/find-gc.el: Move to ../admin.
7080 * printing.el (pr-version):
7081 * ps-print.el (ps-print-version): Also mention bug-gnu-emacs.
7083 * net/browse-url.el (browse-url-mosaic):
7084 Create /tmp/Mosaic.PID as a private file.
7086 2014-05-12 Stefan Monnier <monnier@iro.umontreal.ca>
7088 * emacs-lisp/nadvice.el: Support adding a given function multiple times.
7089 (advice--member-p): If name is given, only compare the name.
7090 (advice--remove-function): Don't stop at the first match.
7091 (advice--normalize-place): New function.
7092 (add-function, remove-function): Use it.
7093 (advice--add-function): Pass the name, if any, to
7094 advice--remove-function.
7096 2014-05-12 Philipp Rumpf <prumpf@gmail.com> (tiny change)
7098 * electric.el (electric-indent-post-self-insert-function): Don't use
7099 `pos' after modifying the buffer (bug#17449).
7101 2014-05-12 Stephen Berman <stephen.berman@gmx.net>
7103 * calendar/todo-mode.el (todo-insert-item-from-calendar):
7104 Correct argument list to conform to todo-insert-item--basic.
7106 2014-05-12 Glenn Morris <rgm@gnu.org>
7108 * files.el (cd-absolute): Test if directory is accessible
7109 rather than executable. (Bug#17330)
7111 * progmodes/compile.el (recompile):
7112 Handle C-u M-x recompile from a non-compilation buffer. (Bug#17444)
7114 * net/browse-url.el (browse-url-mosaic):
7115 Be careful when writing /tmp/Mosaic.PID. (Bug#17428)
7116 This is CVE-2014-3423.
7118 2014-05-11 Stefan Monnier <monnier@iro.umontreal.ca>
7120 * mouse.el: Use the normal toplevel loop while dragging.
7121 (mouse-set-point): Handle multi-clicks.
7122 (mouse-set-region): Handle multi-clicks for drags.
7123 (mouse-drag-region): Update call accordingly.
7124 (mouse-drag-track): Remove `do-mouse-drag-region-post-process' hack.
7125 Use the normal event loop instead of a local while/read-event loop.
7126 (global-map): Remove redundant bindings for double/triple-mouse-1.
7127 * xt-mouse.el (xterm-mouse-translate-1): Only process one event at a time.
7128 Generate synthetic down events when the protocol only sends up events.
7129 (xterm-mouse-last): Remove.
7130 (xterm-mouse--read-event-sequence-1000): Use xterm-mouse-last-down
7131 terminal parameter instead.
7132 (xterm-mouse--set-click-count): New function.
7133 (xterm-mouse-event): Detect/generate double/triple clicks.
7134 * reveal.el (reveal-close-old-overlays): Don't close while dragging.
7136 * info.el (Info-quoted): New face.
7137 (Info-mode-font-lock-keywords): New var.
7138 (Info-mode): Use it.
7140 * emacs-lisp/lisp-mode.el (preceding-sexp): Exclude leading "," which
7141 are a hindrance for C-x C-e.
7143 2014-05-11 Leo Liu <sdl.web@gmail.com>
7145 * net/rcirc.el (rcirc-sentinel): Fix last change.
7147 2014-05-08 Sam Steingold <sds@gnu.org>
7149 * net/rcirc.el (rcirc-reconnect-delay): New user option.
7150 (rcirc-sentinel): Auto-reconnect to the server if
7151 `rcirc-reconnect-delay' is non-0 (but not more often than its
7152 value in case the host is off-line).
7154 2014-05-09 Eli Zaretskii <eliz@gnu.org>
7156 * progmodes/grep.el (lgrep): Fix a typo in last commit.
7158 2014-05-09 Glenn Morris <rgm@gnu.org>
7160 * files.el (file-expand-wildcards):
7161 * man.el (Man-support-local-filenames):
7162 * printing.el (pr-i-directory, pr-interface-directory):
7163 * progmodes/grep.el (lgrep, rgrep):
7164 * textmodes/ispell.el (ispell-call-process)
7165 (ispell-call-process-region, ispell-start-process)
7166 (ispell-init-process): Use file-accessible-directory-p.
7168 2014-05-08 Stefan Monnier <monnier@iro.umontreal.ca>
7170 * xt-mouse.el: Drop spurious/oddly shaped events (bug#17378).
7171 (xterm-mouse--read-event-sequence-1000): Return nil if something
7173 (xterm-mouse-event): Propagate it.
7174 (xterm-mouse-translate-1): Handle it.
7176 2014-05-08 Stephen Berman <stephen.berman@gmx.net>
7178 * calendar/todo-mode.el (todo-insert-item--apply-args): When all
7179 four slots of the parameter list are filled, make sure to pass it
7180 to the argument list of todo-insert-item--basic.
7182 2014-05-08 Stefan Monnier <monnier@iro.umontreal.ca>
7184 * emacs-lisp/package.el (package-compute-transaction): Topological sort.
7185 Add optional `seen' argument to detect and break infinite loops.
7187 2014-05-08 Eli Zaretskii <eliz@gnu.org>
7189 * emacs-lisp/find-gc.el (find-gc-unsafe, find-unsafe-funcs)
7190 (trace-unsafe, trace-use-tree): Make parentheses style be
7191 according to Emacs style.
7193 2014-05-08 Michael Albinus <michael.albinus@gmx.de>
7195 * net/tramp-sh.el (tramp-remote-process-environment):
7196 Remove HISTFILE and HISTSIZE; it's too late to set them here.
7198 (tramp-open-shell): Do not let-bind `tramp-end-of-output'.
7199 Add "HISTFILE=/dev/null" to the shell's env arguments. Do not send
7200 extra "PSx=..." commands.
7201 (tramp-maybe-open-connection): Setenv HISTFILE to /dev/null.
7204 (tramp-uudecode): Replace the hard-coded temporary file name by a
7206 (tramp-remote-coding-commands): Enhance docstring.
7207 (tramp-find-inline-encoding): Replace "%t" by a temporary file
7209 This is CVE-2014-3424.
7211 2014-05-08 Glenn Morris <rgm@gnu.org>
7213 * emacs-lisp/find-gc.el (find-gc-source-directory): Give it a value.
7214 (find-gc-source-files): Update some names.
7215 (trace-call-tree): Simplify and update.
7216 Avoid predictable temp-file names. (http://bugs.debian.org/747100)
7217 This is CVE-2014-3422.
7219 2014-05-08 Stefan Monnier <monnier@iro.umontreal.ca>
7221 * minibuffer.el (completion--try-word-completion): Revert fix for
7222 Bug#15980 (bug#17375).
7224 * xt-mouse.el (xterm-mouse--read-event-sequence-1000): (bug#17378)
7225 Always store button numbers in the same way in xterm-mouse-last;
7226 Don't burp is xterm-mouse-last is not set as expected.
7227 Never return negative indices.
7229 2014-05-08 Dmitry Gutov <dgutov@yandex.ru>
7231 * progmodes/ruby-mode.el (ruby-syntax-propertize-function):
7232 Backtrack one char if the global/char-literal var matcher hits
7233 inside a string. The next char could be the beginning of an
7234 expression expansion.
7236 2014-05-08 Glenn Morris <rgm@gnu.org>
7238 * help-fns.el (describe-function-1): Test for an autoload before a
7239 macro, since `macrop' works on autoloads. (Bug#17410)
7241 2014-05-08 Stefan Monnier <monnier@iro.umontreal.ca>
7243 * electric.el (electric-indent-functions-without-reindent): Add yaml.
7245 * minibuffer.el (completion-table-with-quoting) <completion--unquote>:
7246 Make sure the new point we return is within the new string (bug#17239).
7248 2014-05-05 Daniel Colascione <dancol@dancol.org>
7250 * progmodes/compile.el (compilation-error-regexp-alist-alist):
7251 Port `gnu' pattern to rx.
7253 2014-05-05 Jarek Czekalski <jarekczek@poczta.onet.pl>
7255 Remove unneeded prompt when closing a buffer with active
7256 emacsclient ("Buffer ... still has clients"), #16548.
7257 * server.el (server-start): Remove the only call to:
7258 (server-kill-buffer-query-function): Remove.
7260 2014-05-04 Leo Liu <sdl.web@gmail.com>
7262 * calendar/diary-lib.el (calendar-chinese-month-name-array):
7263 Defvar to pacify compiler.
7265 2014-05-04 Eli Zaretskii <eliz@gnu.org>
7267 * mail/rmailsum.el (rmail-new-summary-1): Fix a typo in a comment.
7269 2014-05-04 Stefan Monnier <monnier@iro.umontreal.ca>
7271 * vc/ediff-diff.el (ediff-set-fine-diff-properties-in-one-buffer):
7272 Use nil rather than `default' for the "default" appearance (bug#17388).
7273 * vc/ediff-util.el (ediff-inferior-compare-regions)
7274 (ediff-toggle-autorefine, ediff-unselect-difference): Don't use
7275 a misleading `default' value when it's really a boolean.
7276 * vc/ediff-init.el (ediff-set-overlay-face): Don't set help-echo if the
7277 overlay is not visible.
7279 2014-05-04 Stephen Berman <stephen.berman@gmx.net>
7281 * calendar/todo-mode.el (todo-edit-file): Use display-warning.
7282 (todo-menu): Uncomment and update.
7284 2014-05-04 Stephen Berman <stephen.berman@gmx.net>
7286 * calendar/todo-mode.el: Reimplement item editing to have the same
7287 basic user interface as item insertion, and make small UI and
7288 larger internal improvements to the latter.
7289 (todo-insert-item): Add reference to the Todo mode user manual to
7290 the documentation string.
7291 (todo-insert-item--basic): Rename from todo-basic-insert-item and
7292 adjust all callers. Change signature to combine diary and
7293 nonmarking arguments. Incorporate functionality of deleted item
7294 copying command and add error checking. Remove detailed
7295 descriptions of the arguments from the documentation string, since
7296 this is treated in the Todo mode user manual.
7297 (todo-copy-item, todo-edit-multiline-item)
7298 (todo-edit-done-item-comment, todo-edit-item-header)
7299 (todo-edit-item-time, todo-edit-item-date-from-calendar)
7300 (todo-edit-item-date-to-today, todo-edit-item-date-day-name)
7301 (todo-edit-item-date-year, todo-edit-item-date-month)
7302 (todo-edit-item-date-day, todo-edit-item-diary-nonmarking):
7304 (todo-edit-item): Reimplement as wrapper command for
7305 todo-edit-item--next-key and make it distinguish done and not done
7307 (todo-edit-item--text): New function, replacing old command
7308 todo-edit-item and incorporating deleted commands
7309 todo-edit-multiline-item and todo-edit-done-item-comment.
7310 (todo-edit-item--header): Rename from todo-basic-edit-item-header.
7311 Use only numeric value of prefix argument. Remove detailed
7312 descriptions of the arguments from the documentation string, since
7313 this is treated in the Todo mode user manual.
7314 (todo-edit-item--diary-inclusion): New function, replacing old
7315 command todo-edit-item-diary-inclusion and incorporating and fixing
7316 functionality of deleted command todo-edit-item-diary-nonmarking,
7317 making sure to remove todo-nondiary-marker when adding
7318 diary-nonmarking-symbol.
7319 (todo-edit-category-diary-inclusion): Make sure to delete
7320 diary-nonmarking-symbol when adding todo-nondiary-marker.
7321 (todo-edit-category-diary-nonmarking): Fix indentation.
7322 (todo-insert-item--parameters): Group diary and nonmarking
7323 parameters together.
7324 (todo-insert-item--apply-args): Adjust to signature of
7325 todo-insert-item--basic and incorporate copy parameter.
7326 Make small code improvements.
7327 (todo-insert-item--next-param): Improve prompt and adjust it to
7328 new parameter grouping. Remove obsolete code.
7329 (todo-edit-item--param-key-alist)
7330 (todo-edit-item--date-param-key-alist)
7331 (todo-edit-done-item--param-key-alist): New defconsts.
7332 (todo-edit-item--prompt): New variable.
7333 (todo-edit-item--next-key): New function.
7334 (todo-key-bindings-t): Bind "e" to todo-edit-item.
7335 Remove bindings of deleted commands.
7337 2014-05-04 Leo Liu <sdl.web@gmail.com>
7339 * emacs-lisp/cl-macs.el (cl-deftype): Fix indentation.
7341 2014-05-04 Glenn Morris <rgm@gnu.org>
7343 * allout-widgets.el (allout-widgets-tally)
7344 (allout-decorate-item-guides):
7345 * menu-bar.el (menu-bar-positive-p):
7346 * minibuffer.el (completion-pcm-complete-word-inserts-delimiters):
7347 * progmodes/gdb-mi.el (gdbmi-same-start, gdbmi-is-number):
7348 * progmodes/js.el (js--inside-param-list-p)
7349 (js--inside-dojo-class-list-p, js--forward-destructuring-spec):
7350 * progmodes/prolog.el (region-exists-p):
7351 * progmodes/verilog-mode.el (verilog-scan-cache-ok-p):
7352 * textmodes/reftex-parse.el (reftex-using-biblatex-p):
7353 Doc fixes (replace `iff').
7355 2014-05-04 Stefan Monnier <monnier@iro.umontreal.ca>
7357 * mpc.el (mpc-volume-mouse-set): Don't burp at the boundaries.
7359 2014-05-04 Leo Liu <sdl.web@gmail.com>
7361 Support Chinese diary entries in calendar and diary. (Bug#17393)
7362 * calendar/cal-china.el (calendar-chinese-month-name-array): New var.
7363 (calendar-chinese-from-absolute-for-diary)
7364 (calendar-chinese-to-absolute-for-diary)
7365 (calendar-chinese-mark-date-pattern, diary-chinese-mark-entries)
7366 (diary-chinese-list-entries): New functions to list and mark
7367 Chinese diary entries in the calendar window.
7368 (diary-chinese-anniversary)
7369 (diary-chinese-insert-anniversary-entry)
7370 (diary-chinese-insert-entry, diary-chinese-insert-monthly-entry)
7371 (diary-chinese-insert-yearly-entry): New commands to insert
7372 Chinese diary entries.
7374 * calendar/diary-lib.el (diary-font-lock-keywords):
7375 Support font-locking Chinese dates.
7377 * calendar/cal-menu.el (cal-menu-diary-menu): Add entries for
7378 inserting Chinese diary entries.
7380 * calendar/calendar.el (diary-chinese-entry-symbol):
7381 New customizable variable.
7382 (calendar-mode-map): Add bindings for inserting Chinese diary
7385 2014-05-03 Juri Linkov <juri@jurta.org>
7387 * dired.el (dired-check-switches, dired-switches-recursive-p):
7388 New functions. (Bug#17218)
7389 (dired-switches-escape-p, dired-move-to-end-of-filename):
7390 Use `dired-check-switches'.
7391 (dired-insert-old-subdirs, dired-build-subdir-alist)
7392 (dired-sort-R-check): Use `dired-switches-recursive-p'.
7394 2014-05-01 Barry O'Reilly <gundaetiapo@gmail.com>
7396 * simple.el (undo-make-selective-list): New algorithm fixes
7397 incorrectness of position adjustments when undoing in region.
7399 (undo-elt-crosses-region): Make obsolete.
7400 (undo-adjust-elt, undo-adjust-beg-end, undo-adjust-pos):
7401 New functions to adjust positions using undo-deltas.
7403 2014-05-01 Stefan Monnier <monnier@iro.umontreal.ca>
7405 * emacs-lisp/lisp-mode.el (lisp--match-hidden-arg): Only highlight past
7406 the last consecutive closing paren (bug#17345).
7408 2014-04-30 Reuben Thomas <rrt@sc3d.org>
7410 * dired.el (dired-mode): make terminology for eXpunge command
7411 consistent. (Bug#17276)
7413 2014-04-30 Eli Zaretskii <eliz@gnu.org>
7415 * dired.el (dired-initial-position-hook, dired-initial-position):
7418 2014-04-30 Glenn Morris <rgm@gnu.org>
7420 * mail/rmail.el (rmail-quit): Handle killed summaries. (Bug#17283)
7422 2014-04-30 Matthias Dahl <matthias.dahl@binary-island.eu>
7424 * faces.el (face-spec-recalc): Apply X resources only after the
7425 defface spec has been applied. Thus, X resources are no longer
7426 overriden by the defface spec which also fixes issues on win32 where
7427 the toolbar coloring was wrong because it is set through X resources
7428 and was (wrongfully) overriden. (Bug#16694)
7430 2014-04-30 Stefan Monnier <monnier@iro.umontreal.ca>
7432 * textmodes/rst.el (electric-pair-pairs): Declare.
7433 (rst-mode): Set it (bug#17131).
7435 2014-04-30 Juri Linkov <juri@jurta.org>
7437 * desktop.el (desktop-value-to-string): Let-bind `print-length'
7438 and `print-level' to nil. (Bug#17351)
7440 2014-04-30 Nicolas Richard <theonewiththeevillook@yahoo.fr>
7442 * battery.el (battery-update): Handle the case where battery
7443 status is "N/A" (bug#17319).
7445 2014-04-28 Stefan Monnier <monnier@iro.umontreal.ca>
7447 * progmodes/ps-mode.el: Use SMIE. Move string and comment recognition
7448 to syntax-propertize.
7449 (ps-mode-auto-indent): Mark as obsolete.
7450 (ps-mode-font-lock-keywords-1): Remove string-or-comment handling.
7451 (ps-mode-font-lock-keywords-3): Use symbol regexp operators instead of
7452 word regexp operators.
7453 (ps-mode-map): Move initialization into declaration. Remove binding
7454 for TAB, RET, >, ], and }.
7455 (ps-mode-syntax-table): Move initialization into declaration.
7456 Don't give word syntax to non-word chars.
7457 (ps-run-mode-map): Move initialization into declaration.
7458 (ps-mode-menu-main): Remove auto-indent entry.
7459 (ps-mode-smie-rules): New function.
7460 (ps-mode): Setup smie, syntax-propertize, and electric-indent-mode.
7461 (ps-mode-looking-at-nested, ps-mode-match-string-or-comment): Remove.
7462 (ps-mode--string-syntax-table): New const.
7463 (ps-mode--syntax-propertize-special, ps-mode-syntax-propertize):
7465 (ps-mode-newline, ps-mode-tabkey, ps-mode-r-brace, ps-mode-r-angle)
7466 (ps-mode-r-gt, ps-mode-r-balance): Remove functions.
7468 2014-04-27 Daniel Colascione <dancol@dancol.org>
7470 * term/xterm.el (xterm-paste): Use large finite timeout when
7471 reading event to avoid putting keys in this-command-keys.
7473 2014-04-25 Stefan Monnier <monnier@iro.umontreal.ca>
7475 * progmodes/perl-mode.el (perl--syntax-exp-intro-regexp): New var.
7476 (perl-syntax-propertize-function): Use it. Extend handling of
7477 here-docs to the unquoted case.
7479 2014-04-25 Eli Zaretskii <eliz@gnu.org>
7481 * tooltip.el (tooltip-show-help-non-mode, tooltip-show-help):
7482 Use equal-including-properties to compare help-echo strings (bug#17331).
7484 2014-04-25 Leo Liu <sdl.web@gmail.com>
7486 * emacs-lisp/lisp-mode.el (emacs-lisp-mode-syntax-table):
7487 Fix syntax for @. (Bug#17325)
7489 2014-04-25 Daniel Colascione <dancol@dancol.org>
7491 * emacs-lisp/cl.el (gv): Require gv early to break eager
7492 macro-expansion cycles.
7494 2014-04-25 Stefan Monnier <monnier@iro.umontreal.ca>
7496 * simple.el (region-active-p): Check there's a mark (bug#17324).
7498 * simple.el (completion-list-mode-map): Use choose-completion for the
7499 mouse binding as well (bug#17302).
7500 (completion-list-mode, completion-setup-function): Adjust docstring and
7501 echo area message accordingly.
7502 * progmodes/idlwave.el (idlwave-choose-completion): Adjust to new
7503 calling convention of choose-completion.
7504 * comint.el (comint-dynamic-list-completions):
7505 * term.el (term-dynamic-list-completions): Accept choose-completion.
7507 * progmodes/perl-mode.el (perl-syntax-propertize-function): Slash after
7508 &, |, +, - and * can't be a division (bug#17317).
7510 * term/xterm.el (xterm--version-handler): Don't use modern xterm
7511 features on gnome-terminal (bug#16988).
7513 2014-04-25 Thien-Thi Nguyen <ttn@gnu.org>
7515 Improve Scheme font-locking for (define ((foo ...) ...) ...).
7517 * progmodes/scheme.el (scheme-font-lock-keywords-1): To find
7518 the declared object, ignore zero or more parens, not zero or one.
7520 2014-04-24 Leo Liu <sdl.web@gmail.com>
7522 * progmodes/xscheme.el (xscheme-expressions-ring)
7523 (xscheme-expressions-ring-yank-pointer, xscheme-running-p)
7524 (xscheme-control-g-disabled-p, xscheme-process-filter-state)
7525 (xscheme-allow-output-p, xscheme-prompt)
7526 (xscheme-string-accumulator, xscheme-mode-string): Use defvar-local.
7528 * progmodes/scheme.el (would-be-symbol, next-sexp-as-string):
7529 Comment out unused functions.
7531 2014-04-24 Stefan Monnier <monnier@iro.umontreal.ca>
7533 * info.el: Use lexical-binding and cl-lib.
7534 Use defvar-local and setq-local instead of make-local-variable.
7535 (Info-apropos-matches): Avoid add-to-list.
7536 (Info-edit-mode-map): Fix obsolescence call to Info-edit-map.
7538 2014-04-24 Daniel Colascione <dancol@dancol.org>
7540 * progmodes/sh-script.el (sh-builtins): Add coproc to list of bash builtins.
7542 2014-04-23 Stefan Monnier <monnier@iro.umontreal.ca>
7544 * emacs-lisp/cl-macs.el (cl--loop-let): Fix last merge.
7546 2014-04-22 Michael Heerdegen <michael_heerdegen@web.de>
7548 * dired.el (dired-insert-set-properties): Do not consider
7549 subdirectory headings and empty lines to be information that
7550 `dired-hide-details-mode' should hide. (Bug#17228)
7552 2014-04-22 Michael Albinus <michael.albinus@gmx.de>
7554 * net/tramp-sh.el (tramp-sh-handle-file-name-all-completions):
7555 Remove test messages.
7556 (tramp-do-copy-or-rename-file-out-of-band): Do not quote `source'
7559 2014-04-22 Stefan Monnier <monnier@iro.umontreal.ca>
7561 * dframe.el (dframe-get-focus): Remove `hook' argument (bug#17311).
7562 * speedbar.el (speedbar-get-focus): Run the "hook" afterwards instead.
7564 * emacs-lisp/cl-macs.el (cl--loop-let): Avoid `nil' as var name.
7566 2014-04-22 Michael Albinus <michael.albinus@gmx.de>
7568 * net/tramp-sh.el (tramp-sh-handle-file-name-all-completions):
7569 Set "IFS=" when using read builtin, in order to preserve spaces in
7570 the file name. Add test messages for hunting a bug on hydra.
7571 (tramp-get-ls-command): Undo using "-b" argument. It doesn't help.
7573 2014-04-22 Stefan Monnier <monnier@iro.umontreal.ca>
7575 * progmodes/prog-mode.el (prettify-symbols--compose-symbol):
7576 Don't prettify a word within a symbol.
7578 2014-04-22 Michael Albinus <michael.albinus@gmx.de>
7580 * net/tramp-sh.el (tramp-get-ls-command): Use "-b" argument if
7583 2014-04-22 Daniel Colascione <dancol@dancol.org>
7585 * emacs-lisp/byte-run.el (function-put): Unbreak build: don't
7586 use defun to define `function-put'.
7588 2014-04-22 Stefan Monnier <monnier@iro.umontreal.ca>
7590 * emacs-lisp/lisp-mode.el (lisp--match-hidden-arg): New function.
7591 (lisp-el-font-lock-keywords-2, lisp-cl-font-lock-keywords-2): Use it.
7592 (lisp-mode-variables): Set font-lock-extra-managed-props.
7594 * emacs-lisp/byte-run.el (function-put): New function.
7595 (defun-declarations-alist): Use it. Add `pure' and `side-effect-free'.
7596 * emacs-lisp/cl-macs.el (cl-defstruct, cl-struct-sequence-type)
7597 (cl-struct-slot-info, cl-struct-slot-offset, cl-struct-slot-value):
7600 2014-04-22 Daniel Colascione <dancol@dancol.org>
7602 * emacs-lisp/macroexp.el (internal-macroexpand-for-load):
7603 Add `full-p' parameter; when nil, call `macroexpand' instead of
7606 * emacs-lisp/byte-run.el (eval-when-compile, eval-and-compile):
7609 * emacs-lisp/bytecomp.el (byte-compile-initial-macro-environment):
7610 Use lambda function values, not quoted lambdas.
7611 (byte-compile-recurse-toplevel): Remove extraneous &optional.
7613 * emacs-lisp/cl-macs.el
7614 (cl-struct-sequence-type, cl-struct-slot-info): Declare pure.
7615 (cl-struct-slot-value): Conditionally use aref or nth so that the
7616 compiler produces optimal code.
7618 2014-04-22 Stefan Monnier <monnier@iro.umontreal.ca>
7620 * emacs-lisp/cl-macs.el (cl-struct-slot-offset): Mark as pure.
7621 (inline): Don't inline cl--set-elt.
7622 (cl-struct-slot-value): Remove explicit gv-setter and compiler-macro.
7623 Define as inlinable instead.
7624 (cl-struct-set-slot-value): Remove.
7626 * emacs-lisp/cl-lib.el (cl--set-elt): Remove.
7627 * emacs-lisp/cl-seq.el (cl-replace, cl-substitute, cl-nsubstitute):
7630 2014-04-21 Daniel Colascione <dancol@dancol.org>
7632 * emacs-lisp/cl-macs.el (cl--const-expr-val): We didn't need the
7633 last two parameters after all.
7634 (cl--expr-contains,cl--compiler-macro-typep,cl--compiler-macro-member)
7635 (cl--compiler-macro-assoc,cl-struct-slot-value)
7636 (cl-struct-set-slot-value): Stop using them.
7638 (2014-04-21 Stefan Monnier <monnier@iro.umontreal.ca>
7640 * image-mode.el (image-mode-window-put): Don't assume there's a `t'
7641 entry in image-mode-winprops-alist.
7643 2014-04-21 Daniel Colascione <dancol@dancol.org>
7645 * emacs-lisp/bytecomp.el (byte-compile-recurse-toplevel): New function.
7646 (byte-compile-recurse-toplevel, byte-compile-initial-macro-environment)
7647 (byte-compile-toplevel-file-form): Use it.
7649 * emacs-lisp/cl-macs.el:
7650 (cl--loop-let): Properly destructure `while' clauses.
7652 2014-04-20 Daniel Colascione <dancol@dancol.org>
7654 * vc/vc.el (vc-root-dir): New public autoloaded function for
7655 generically finding the current VC root.
7656 * vc/vc-hooks.el (vc-not-supported): New error.
7657 (vc-call-backend): Signal `vc-not-supported' instead of generic error.
7659 2014-04-20 Daniel Colascione <dancol@dancol.org>
7661 * emacs-lisp/cl-macs.el (cl-the): Make `cl-the' assert its type
7663 (cl--const-expr-val): cl--const-expr-val should macroexpand its
7664 argument in case we're inside a symbol-macrolet.
7665 (cl--do-arglist, cl--compiler-macro-typep)
7666 (cl--compiler-macro-member, cl--compiler-macro-assoc): Pass macro
7667 environment to `cl--const-expr-val'.
7668 (cl-struct-sequence-type,cl-struct-slot-info)
7669 (cl-struct-slot-offset, cl-struct-slot-value)
7670 (cl-struct-set-slot-value): New functions.
7672 2014-04-19 Stefan Monnier <monnier@iro.umontreal.ca>
7674 * progmodes/sh-script.el (sh-smie--sh-keyword-p): Handle variable
7675 assignments such as "case=hello" (bug#17297).
7677 2014-04-18 Michael Albinus <michael.albinus@gmx.de>
7679 * net/tramp.el (tramp-run-real-handler, tramp-file-name-handler):
7681 (tramp-file-name-handler, tramp-completion-file-name-handler):
7682 Revert patch from 2014-04-10, it isn't necessary anymore.
7683 (tramp-autoload-file-name-handler)
7684 (tramp-register-autoload-file-name-handlers): New defuns.
7685 (top): Autoload call of `tramp-register-autoload-file-name-handlers'.
7686 (tramp-register-file-name-handlers): Remove also
7687 `tramp-autoload-file-name-handler' from `file-name-handler-list'.
7688 Do not autoload its invocation, but eval it after loading of 'tramp.
7690 * net/tramp-adb.el (tramp-unload-hook): Unload `tramp-adb'.
7692 * net/tramp-compat.el (tramp-unload-hook): Unload `tramp-loaddefs'.
7694 2014-04-17 Daniel Colascione <dancol@dancol.org>
7696 Add support for bracketed paste mode; add infrastructure for
7697 managing terminal mode enabling and disabling automatically.
7700 (xterm-mouse-mode): Simplify.
7701 (xterm-mouse-tracking-enable-sequence)
7702 (xterm-mouse-tracking-disable-sequence): New constants.
7703 (turn-on-xterm-mouse-tracking-on-terminal)
7704 (turn-off-xterm-mouse-tracking-on-terminal):
7705 Use tty-mode-set-strings and tty-mode-reset-strings terminal
7706 parameters instead of random hooks.
7707 (turn-on-xterm-mouse-tracking)
7708 (turn-off-xterm-mouse-tracking): Delete.
7710 * term/xterm.el (xterm-extra-capabilities): Fix bitrotted comment.
7711 (xterm-paste-ending-sequence): New constant.
7712 (xterm-paste): New command used for bracketed paste support.
7714 (xterm-modify-other-keys-terminal-list): Delete obsolete variable.
7715 (terminal-init-xterm-bracketed-paste-mode): New function.
7716 (terminal-init-xterm): Call it.
7717 (terminal-init-xterm-modify-other-keys): Use tty-mode-set-strings
7718 and tty-mode-reset-strings instead of random hooks.
7719 (xterm-turn-on-modify-other-keys)
7720 (xterm-turn-off-modify-other-keys)
7721 (xterm-remove-modify-other-keys): Delete obsolete functions.
7723 * term/screen.el: Rewrite to just use the xterm code.
7724 Add copyright notice. Mention tmux.
7726 2014-04-17 Ian D <dunni@gnu.org> (tiny change)
7728 * image-mode.el (image-mode-window-put): Also update the property of
7729 the "default window".
7730 * doc-view.el (doc-view-new-window-function): If no window
7731 exists, move to the last known page.
7733 2014-04-16 Stefan Monnier <monnier@iro.umontreal.ca>
7735 * progmodes/perl-mode.el (perl-calculate-indent): Don't auto-indent in
7736 here-documents (bug#17262).
7738 2014-04-16 Eli Zaretskii <eliz@gnu.org>
7740 * term/pc-win.el (x-list-fonts, x-get-selection-value):
7741 Provide doc strings, as required by snarf-documentation.
7743 2014-04-16 Stefan Monnier <monnier@iro.umontreal.ca>
7745 * ps-def.el (ps-generate-postscript-with-faces1): Use the new `sorted'
7746 arg of overlays-at. Use `invisible-p'.
7748 * obsolete/lucid.el (extent-at):
7749 * htmlfontify.el (hfy-overlay-props-at): Use the new `sorted' arg of
7751 (hfy-fontify-buffer): Remove unused var `orig-ovls'.
7753 2014-04-16 João Távora <joaotavora@gmail.com>
7755 * net/shr.el (shr-expand-url): Use `expand-file-name' for relative
7758 2014-04-16 YAMAMOTO Mitsuharu <mituharu@math.s.chiba-u.ac.jp>
7760 * vc/ediff-diff.el (ediff-set-fine-diff-properties-in-one-buffer):
7761 Use mapc to loop over a vector. (Bug#17257).
7763 2014-04-16 Michael Albinus <michael.albinus@gmx.de>
7765 * net/tramp-sh.el (tramp-sh-handle-file-truename): Revert previous
7766 patch, there are new problems with file names containing spaces.
7767 Get rid of backticks. (Bug#17238)
7769 2014-04-16 João Távora <joaotavora@gmail.com>
7771 * elec-pair.el (electric-pair--syntax-ppss): Simplify and fix
7774 2014-04-16 Eli Zaretskii <eliz@gnu.org>
7776 * frame.el (blink-cursor-blinks, blink-cursor-blinks-done): Doc fixes.
7777 (blink-cursor-mode): Mention customization variables and the
7778 effect of 'blink-cursor-blinks'.
7780 2014-04-16 Barry O'Reilly <gundaetiapo@gmail.com>
7782 * simple.el (undo): Prevent insertion of identity mapping into
7783 undo-equiv-table so as undo-only does not inf loop in the presence
7784 of consecutive nils in undo list.
7786 2014-04-16 Matthias Dahl <matthias.dahl@binary-island.eu>
7788 * faces.el (make-face): Deprecate optional argument as it is no
7789 longer needed/used since the conditional X resources handling
7790 has been pushed down to make-face-x-resource-internal itself.
7791 (make-empty-face): Don't pass optional argument to make-face.
7793 2014-04-16 Karl Fogel <kfogel@red-bean.com>
7795 * savehist.el (savehist-save): Remove workaround for a read-passwd
7796 bug that was fixed before 24.3. Thanks to Juanma Barranquero for
7797 noticing that the shim was still present.
7799 2014-04-14 Stefan Monnier <monnier@iro.umontreal.ca>
7801 * doc-view.el (doc-view-set-doc-type): Ignore file name case; add .pps.
7803 2014-04-14 Juanma Barranquero <lekktu@gmail.com>
7805 * faces.el (face-set-after-frame-default): Remove unused local variable.
7807 2014-04-12 Stefan Monnier <monnier@iro.umontreal.ca>
7809 * progmodes/grep.el: Use lexical-binding.
7810 (grep-expand-template): Pass explicit lexical env to `eval'.
7811 (zrgrep): Let-bind grep-find-template explicitly.
7813 * emacs-lisp/cl-lib.el (current-case-table): Remove setter.
7814 * leim/quail/sisheng.el (sisheng-list): Use with-case-table.
7816 2014-04-12 Eli Zaretskii <eliz@gnu.org>
7818 * international/characters.el <standard-case-table>: Add entries
7819 for letters from the Coptic block u+2C80-u+2CFF. (Bug#17243)
7820 Set category of Coptic characters be 'g' (Greek).
7822 2014-04-12 Leo Liu <sdl.web@gmail.com>
7824 * progmodes/octave.el (completion-table-with-cache):
7825 Define if not available.
7826 (octave-goto-function-definition, octave-sync-function-file-names)
7827 (octave-find-definition-default-filename):
7828 Backquote upattern for compatibility.
7830 2014-04-12 Michael Albinus <michael.albinus@gmx.de>
7832 * net/tramp-sh.el (tramp-sh-handle-file-truename): Quote the file
7833 name twice due to backticks. (Bug#17238)
7835 2014-04-12 Glenn Morris <rgm@gnu.org>
7837 * term/w32-win.el (x-win-suspend-error):
7838 * term/x-win.el (x-win-suspend-error): Sync docs.
7840 2014-04-12 Matthias Dahl <matthias.dahl@binary-island.eu>
7842 * faces.el (make-face): Remove deprecated optional argument.
7843 The conditional application of X resources is handled directly by
7844 make-face-x-resource-internal since Emacs 24.4.
7845 (make-empty-face): Don't pass optional argument to make-face.
7847 2014-04-11 Glenn Morris <rgm@gnu.org>
7849 * Makefile.in (EMACSDATA, EMACSDOC, EMACSPATH): Unexport. (Bug#16429)
7851 2014-04-11 Stefan Monnier <monnier@iro.umontreal.ca>
7853 Ediff's overlay priorities cause more trouble than they solve.
7854 * vc/ediff-init.el (ediff-shadow-overlay-priority): Remove variable.
7855 (ediff-highest-priority): Remove function (bug#17234).
7856 * vc/ediff-util.el (ediff-highlight-diff-in-one-buffer):
7857 * vc/ediff-diff.el (ediff-set-diff-overlays-in-one-buffer)
7858 (ediff-set-fine-diff-properties-in-one-buffer): Don't mess with
7861 2014-04-11 Feng Li <fengli@gmail.com> (tiny change)
7863 * progmodes/pascal.el (pascal-font-lock-keywords): Fix incorrect format
7864 entry; use symbol boundaries to avoid mis-matches.
7866 2014-04-11 Michael Albinus <michael.albinus@gmx.de>
7868 * net/tramp.el (tramp-file-name-handler)
7869 (tramp-completion-file-name-handler): Avoid recursive loading.
7871 * net/tramp-sh.el (tramp-make-copy-program-file-name):
7872 Quote result also locally.
7874 2014-04-11 Stefan Monnier <monnier@iro.umontreal.ca>
7876 * emulation/cua-base.el (<toplevel>, cua--pre-command-handler-1):
7877 Remove left-over code.
7879 * newcomment.el (comment-indent-new-line): Sink code where it's used.
7880 Reuse the previous comment's indentation unconditionally if it's on its
7883 2014-04-09 Daniel Colascione <dancol@dancol.org>
7885 * emacs-lisp/lisp.el (backward-up-list): Add `escape-strings',
7886 `no-syntax-crossing' arguments. Forward to `up-list'.
7887 (up-list): Add `escape-strings', `no-syntax-crossing' arguments.
7888 Implement logic for escaping from strings. Use narrowing to deal
7891 2014-04-09 Leo Liu <sdl.web@gmail.com>
7893 * net/rcirc.el (rcirc-connection-info): New variable.
7894 (rcirc-connect): Use it to store connection info.
7895 (rcirc-buffer-process): Avoid get-buffer-process which returns nil
7897 (rcirc-cmd-reconnect): New command. (Bug#17045)
7898 (rcirc-mode, set-rcirc-encode-coding-system)
7899 (set-rcirc-decode-coding-system, rcirc-connect): Use setq-local.
7901 2014-04-09 Daniel Colascione <dancol@dancol.org>
7903 * emacs-lisp/cl-indent.el: Add comment claiming
7904 facility is also good for elisp.
7905 (lisp-indent-find-method): New function.
7906 (common-lisp-indent-function): Recognize cl-loop.
7907 (common-lisp-indent-function-1): Recognize cl constructs; use
7908 `lisp-indent-find-method' instead of `get' directly.
7909 (if): Use else-body style for elisp.
7911 2014-04-09 Dmitry Gutov <dgutov@yandex.ru>
7913 * progmodes/ruby-mode.el (ruby-font-lock-keywords): Highlight more
7914 Module methods. (Bug#17216)
7916 2014-04-09 Stefan Monnier <monnier@iro.umontreal.ca>
7918 * help.el (describe-bindings): Fix buffer handling (bug#17210).
7919 (describe-bindings-internal): Mark obsolete.
7921 2014-04-09 Stefan Monnier <monnier@iro.umontreal.ca>
7923 * subr.el (with-silent-modifications): Don't bind deactivate-mark,
7924 buffer-file-name, and buffer-file-truename any more.
7926 2014-04-08 Leo Liu <sdl.web@gmail.com>
7928 Use lexical-binding and require cl-lib.
7929 * net/rcirc.el (rcirc, rcirc-handler-ctcp-KEEPALIVE)
7930 (rcirc-handler-generic, rcirc-fill-paragraph)
7931 (rcirc-format-response-string, rcirc-target-buffer)
7932 (rcirc-last-line, rcirc-record-activity, rcirc-split-activity)
7933 (rcirc-activity-string, rcirc-make-trees, rcirc-cmd-ctcp)
7934 (rcirc-ctcp-sender-PING, rcirc-browse-url)
7935 (rcirc-markup-timestamp, rcirc-markup-attributes)
7936 (rcirc-markup-my-nick, rcirc-markup-urls)
7937 (rcirc-markup-bright-nicks, rcirc-markup-fill)
7938 (rcirc-check-auth-status, rcirc-handler-WALLOPS)
7939 (rcirc-handler-JOIN, rcirc-handler-PART-or-KICK)
7940 (rcirc-handler-PART, rcirc-handler-KICK, rcirc-handler-QUIT)
7941 (rcirc-handler-NICK, rcirc-handler-PING, rcirc-handler-PONG)
7942 (rcirc-handler-TOPIC, rcirc-handler-301, rcirc-handler-317)
7943 (rcirc-handler-332, rcirc-handler-333, rcirc-handler-477)
7944 (rcirc-handler-MODE, rcirc-handler-353, rcirc-handler-366)
7945 (rcirc-authenticate, rcirc-handler-INVITE, rcirc-handler-ERROR)
7946 (rcirc-handler-ctcp-VERSION, rcirc-handler-ctcp-TIME)
7947 (rcirc-handler-CTCP-response): Fix unused arguments warnings and
7950 2014-04-07 João Távora <joaotavora@gmail.com>
7952 * elec-pair.el (electric-pair--syntax-ppss):
7953 When inside comments parse from comment beginning.
7954 (electric-pair--balance-info): Fix typo in comment.
7955 (electric-pair--in-unterminated-string-p): Delete.
7956 (electric-pair--unbalanced-strings-p): New function.
7957 (electric-pair-string-bound-function): New var.
7958 (electric-pair-inhibit-if-helps-balance): Decide quote pairing
7959 according to `electric-pair--in-unterminated-string-p'
7961 * elec-pair.el (electric-pair-inhibit-if-helps-balance):
7962 Inhibit quote pairing if point-max is inside an unterminated string.
7963 (electric-pair--looking-at-unterminated-string-p): Delete.
7964 (electric-pair--in-unterminated-string-p): New function.
7966 2014-04-07 Glenn Morris <rgm@gnu.org>
7968 * shell.el (shell-directory-tracker):
7969 Go back to just ignoring failures. (Bug#17159)
7971 2014-04-07 João Távora <joaotavora@gmail.com>
7973 Fix `electric-pair-delete-adjacent-pairs' in modes binding
7974 backspace. (Bug#16981)
7975 * elec-pair.el (electric-pair-backward-delete-char): Delete.
7976 (electric-pair-backward-delete-char-untabify): Delete.
7977 (electric-pair-mode-map): Bind backspace to a menu item filtering
7978 a new `electric-pair-delete-pair' command.
7979 (electric-pair-delete-pair): New command.
7981 * progmodes/python.el (python-electric-pair-string-delimiter):
7982 Fix triple-quoting electricity. (Bug#17192)
7984 * elec-pair.el (electric-pair-post-self-insert-function):
7985 Don't skip whitespace when `electric-pair-text-pairs' and
7986 `electric-pair-pairs' were used. syntax to
7987 electric-pair--skip-whitespace. (Bug#17183)
7989 2014-04-07 Eli Zaretskii <eliz@gnu.org>
7991 * leim/quail/ipa.el (ipa-x-sampa): Fix the character produced for
7994 2014-04-07 Stefan Monnier <monnier@iro.umontreal.ca>
7996 * mpc.el (mpc--status-timer-run): Disable timer if not displayed.
7997 (mpc--status-idle-timer-run): Use mpc--status-timer-run.
7999 2014-04-07 Glenn Morris <rgm@gnu.org>
8001 * help.el (view-lossage): Doc tweak.
8003 2014-04-07 Matthias Dahl <ml_emacs-lists@binary-island.eu>
8005 * faces.el (face-spec-recalc): Call make-face-x-resource-internal
8006 only when inhibit-x-resources is nil, and do that earlier in the
8007 function. Doc fix. (Bug#16694)
8008 (face-spec-choose): Accept additional optional argument, whose
8009 value is returned if no matching attributes are found.
8010 (face-spec-recalc): Use the new optional argument when calling
8011 face-spec-choose. (Bug#16378)
8012 (make-face-x-resource-internal): Do nothing when
8013 inhibit-x-resources is non-nil. Don't touch the default face if
8014 reversed video is given--as was done in previous versions of Emacs.
8015 (face-set-after-frame-default): Don't call
8016 make-face-x-resource-internal here. (Bug#16434)
8018 2014-04-07 Tassilo Horn <tsdh@gnu.org>
8020 * doc-view.el (doc-view-bookmark-jump):
8021 Use `bookmark-after-jump-hook' to jump to the right page after the
8022 buffer is shown in a window. (bug#16090)
8024 2014-04-07 Eli Zaretskii <eliz@gnu.org>
8026 * international/characters.el (mirroring): Fix last change:
8027 instead of loading uni-mirrored.el explicitly, do that implicitly
8028 by creating the 'mirroring' uniprop table. This avoids announcing
8029 the loading of uni-mirrored.el.
8031 2014-04-07 Glenn Morris <rgm@gnu.org>
8033 * files.el (buffer-stale--default-function)
8034 (buffer-stale-function, revert-buffer--default):
8035 * autorevert.el (auto-revert-buffers): Doc tweaks.
8037 2014-04-07 Eli Zaretskii <eliz@gnu.org>
8039 * international/characters.el: Preload uni-mirrored.el. (Bug#17169)
8041 2014-04-07 Glenn Morris <rgm@gnu.org>
8043 * files.el (make-backup-file-name-function)
8044 (make-backup-file-name, make-backup-file-name--default-function)
8045 (make-backup-file-name-1, find-backup-file-name)
8046 (revert-buffer-function, revert-buffer-insert-file-contents-function)
8047 (buffer-stale--default-function, buffer-stale-function)
8048 (before-revert-hook, after-revert-hook, revert-buffer-in-progress-p)
8049 (revert-buffer, revert-buffer--default)
8050 (revert-buffer-insert-file-contents--default-function):
8051 Doc fixes related to defaults no longer being nil.
8052 (make-backup-file-name-function): Bump :version.
8053 Restore nil as a valid but deprecated custom type.
8055 2014-04-07 Stefan Monnier <monnier@iro.umontreal.ca>
8057 * progmodes/perl-mode.el (perl-syntax-propertize-function):
8058 Handle $' used as a variable (bug#17174).
8060 * progmodes/perl-mode.el (perl-indent-new-calculate):
8061 Handle forward-sexp failure (bug#16985).
8062 (perl-syntax-propertize-function): Add "foreach" and "for" statement
8063 modifiers introducing expressions (bug#17116).
8065 2014-04-06 Stefan Monnier <monnier@iro.umontreal.ca>
8067 * dired-aux.el (dired-file-set-difference): Use lexical-scoping.
8069 2014-04-05 Leo Liu <sdl.web@gmail.com>
8071 * emacs-lisp/lisp-mode.el (lisp-imenu-generic-expression):
8072 Add define-compilation-mode.
8074 2014-04-04 João Távora <joaotavora@gmail.com>
8076 * elec-pair.el (electric-pair--syntax-ppss): When inside comments
8077 parse from comment beginning.
8078 (electric-pair--balance-info): Fix typo in comment.
8079 (electric-pair--in-unterminated-string-p): Delete.
8080 (electric-pair--unbalanced-strings-p): New function.
8081 (electric-pair-string-bound-function): New var.
8082 (electric-pair-inhibit-if-helps-balance): Decide quote pairing
8083 according to `electric-pair--in-unterminated-string-p'.
8085 2014-04-04 Stefan Monnier <monnier@iro.umontreal.ca>
8087 * textmodes/reftex-parse.el (reftex--index-tags): Rename `index-tags'.
8088 Move declaration before first use.
8089 (reftex-move-to-next-arg): Silence compiler warning.
8091 2014-04-04 Joost Kremers <joostkremers@fastmail.fm> (tiny change)
8093 * textmodes/reftex-toc.el (reftex-toc, reftex-re-enlarge):
8094 Use `window-total-width' instead of `window-width'.
8096 2014-04-03 Daniel Colascione <dancol@dancol.org>
8098 * subr.el (set-transient-map): Remove rms's workaround entirely;
8099 use new `suspicious-object' subr to mark our lambda for closer
8102 2014-04-02 Richard Stallman <rms@gnu.org>
8104 * subr.el (set-transient-map): Comment out previous change.
8106 2014-04-02 Glenn Morris <rgm@gnu.org>
8108 * menu-bar.el (menu-bar-file-menu):
8109 * vc/ediff.el (ediff-current-file):
8110 Update for revert-buffer-function no longer being nil by default.
8112 * simple.el (command-execute): Respect nil disabled-command-function.
8114 2014-04-02 Nicolas Richard <theonewiththeevillook@yahoo.fr>
8116 * simple.el (command-execute): Do not execute the command when it
8117 is disabled; fixes thinko in 2013-02-20 conversion from C. (Bug#17151)
8119 2014-04-02 Juri Linkov <juri@jurta.org>
8121 * dired-aux.el (dired-compress-file): Don't use string-match-p
8122 because its match data is used afterwards.
8124 2014-04-02 Stefan Monnier <monnier@iro.umontreal.ca>
8126 * emacs-lisp/package.el (package-built-in-p): Treat a min-version of
8129 2014-04-02 João Távora <joaotavora@gmail.com>
8131 * elec-pair.el (electric-pair-inhibit-if-helps-balance):
8132 Inhibit quote pairing if point-max is inside an unterminated string.
8133 (electric-pair--looking-at-unterminated-string-p):
8135 (electric-pair--in-unterminated-string-p): New function.
8137 2014-04-01 Daniel Colascione <dancol@dancol.org>
8139 * minibuffer.el (minibuffer-complete): Prevent assertion failure
8140 when trying to complete the prompt.
8142 2014-03-31 Leo Liu <sdl.web@gmail.com>
8144 * emacs-lisp/eldoc.el (eldoc-print-current-symbol-info):
8145 Refactor out eldoc-documentation-function-default.
8146 (eldoc-documentation-function-default): New function.
8147 (eldoc-documentation-function): Change value.
8149 2014-03-31 Glenn Morris <rgm@gnu.org>
8151 * simple.el (cycle-spacing--context, cycle-spacing): Doc tweaks.
8153 * progmodes/vhdl-mode.el (vhdl-speedbar-select-mra)
8154 (vhdl-compose-components-package, vhdl-compose-configuration):
8155 Abbreviate default-directory (missing from some previous upstream sync).
8157 2014-03-31 Reto Zimmermann <reto@gnu.org>
8159 Sync with upstream vhdl mode v3.35.2.
8160 * progmodes/vhdl-mode.el (vhdl-version, vhdl-time-stamp): Update.
8161 (top-level): No longer require assoc.
8162 (vhdl-asort, vhdl-anot-head-p, vhdl-aput, vhdl-adelete, vhdl-aget):
8163 New functions. Use throughout to replace aget etc.
8164 (vhdl-aput-delete-if-nil): Rename from vhdl-aput.
8165 (vhdl-update-file-contents): Update for vhdl-aput-delete-if-nil rename.
8166 (vhdl-template-replace-header-keywords): Fix bug for "<title string>".
8167 (vhdl-compile-init): Do not initialize regexps for Emacs 22+.
8168 (vhdl-error-regexp-emacs-alist): Remove regexps from all compilers
8169 except `vhdl-compiler'.
8170 (vhdl-error-regexp-add-emacs): Remove all other compilers,
8173 2014-03-31 Glenn Morris <rgm@gnu.org>
8175 * progmodes/vhdl-mode.el (vhdl-expand-abbrev, vhdl-expand-paren):
8176 Revert 2014-03-26 merge goof; go back to using defalias.
8178 2014-03-30 Daniel Colascione <dancol@dancol.org>
8180 * comint.el (comint-send-input):
8181 Deactivate completion-in-region-mode before we send comint input.
8184 * simple.el (keyboard-quit): Deactivate completion-in-region-mode
8187 2014-03-29 Glenn Morris <rgm@gnu.org>
8189 * textmodes/reftex.el: Manage most autoloads automatically.
8190 * textmodes/reftex-auc.el, textmodes/reftex-cite.el:
8191 * textmodes/reftex-dcr.el, textmodes/reftex-global.el:
8192 * textmodes/reftex-index.el, textmodes/reftex-parse.el:
8193 * textmodes/reftex-ref.el, textmodes/reftex-sel.el:
8194 * textmodes/reftex-toc.el: Set generated-autoload-file,
8195 and add autoload cookies for reftex.el.
8196 * Makefile.in (AUTOGEN_VCS): Add textmodes/reftex.el.
8198 2014-03-28 Glenn Morris <rgm@gnu.org>
8200 * cus-start.el (report-emacs-bug-address): Set custom properties.
8201 * mail/emacsbug.el (report-emacs-bug-address):
8202 Variable is now defined in emacs.c.
8204 * mail/emacsbug.el (report-emacs-bug):
8205 Include system-configuration-features.
8207 2014-03-28 Michal Nazarewicz <mina86@mina86.com>
8209 * simple.el (cycle-spacing): Never delete spaces on first run by
8210 default, but do so in a new 'fast mode and if there are already
8211 N spaces (the previous behavior).
8212 Compare N with its value in previous invocation so that changing
8213 prefix argument restarts `cycle-spacing' sequence.
8214 The idea is that with this change, binding M-SPC to
8215 `cycle-spacing' should not introduce any changes in behavior of
8216 the binding so long as users do not type M-SPC twice in a raw with
8217 the same prefix argument or lack thereof.
8219 2014-03-28 Glenn Morris <rgm@gnu.org>
8221 * faces.el (term-file-aliases): New variable.
8222 (tty-run-terminal-initialization): Respect term-file-aliases.
8223 * term/apollo.el, term/vt102.el, term/vt125.el, term/vt201.el:
8224 * term/vt220.el, term/vt240.el, term/vt300.el, term/vt320.el:
8225 * term/vt400.el, term/vt420.el: Remove files, replaced by aliases.
8227 2014-03-27 Glenn Morris <rgm@gnu.org>
8229 * startup.el (inhibit-startup-hooks): Doc tweak.
8230 (normal-top-level): Simplify running of hooks.
8231 For window-setup-hook, respect inhibit-startup-hooks.
8232 (command-line-1): Don't set window-setup-hook to nil.
8234 Allow selective autoloading from obsolete/ directory.
8235 * Makefile.in (obsolete-autoloads): New rule.
8236 (autoloads): Run obsolete-autoloads.
8237 * obsolete/iswitchb.el (iswitchb-mode): Use obsolete-autoload.
8238 * simple.el (iswitchb-mode): Remove hand-written autoloads.
8240 2014-03-27 Dmitry Gutov <dgutov@yandex.ru>
8242 * progmodes/ruby-mode.el (ruby-font-lock-keywords):
8243 Highlight special globals with font-lock-builtin-face. (Bug#17057)
8245 * progmodes/ruby-mode.el (ruby-syntax-propertize-function):
8246 Don't propertize `?' or `!' as symbol constituent when after
8249 2014-03-27 Juanma Barranquero <lekktu@gmail.com>
8251 * frameset.el (frameset--restore-frame): Remove workaround for bug#14795
8252 which is no longer needed and causes trouble in GTK builds (bug#17046).
8254 * emacs-lisp/package-x.el (package--archive-contents-from-url):
8255 Use url-insert-file-contents; package-handle-response no longer exists.
8257 2014-03-26 Daniel Colascione <dancol@dancol.org>
8259 * simple.el (process-menu-mode-map): New variable.
8260 (process-menu-delete-process): New command.
8262 2014-03-26 Juanma Barranquero <lekktu@gmail.com>
8264 * emacs-lisp/package.el: Fix bug#16733 (again).
8265 (url-http-parse-response, url-http-end-of-headers, url-recreate-url)
8266 (url-http-target-url): Remove unused declarations.
8267 (package-handle-response): Remove.
8268 (package--with-work-buffer): Use url-insert-file-contents and simplify.
8269 (package--download-one-archive): Use current-buffer instead of
8270 dynamic binding of `buffer'.
8271 (describe-package-1): Do not decode readme-string.
8273 2014-03-26 Michael Albinus <michael.albinus@gmx.de>
8275 * net/tramp.el (tramp-methods, tramp-connection-timeout): Fix docstring.
8277 * net/tramp-sh.el (tramp-sh-handle-vc-registered): Revert change
8278 from 2014-03-07, it decreases performance unnecessarily. Let-bind
8279 `remote-file-name-inhibit-cache' to nil in the second pass.
8280 (tramp-find-executable): Do not call "which" on SunOS.
8281 (tramp-send-command-and-check): Fix docstring.
8282 (tramp-do-copy-or-rename-file-directly): In the `rename' case,
8283 check whether source directory has set the sticky bit.
8285 2014-03-26 Barry O'Reilly <gundaetiapo@gmail.com>
8287 * simple.el (primitive-undo): Only process marker adjustments
8288 validated against their corresponding (TEXT . POS). Issue warning
8289 for lone marker adjustments in undo history. (Bug#16818)
8290 (undo-make-selective-list): Add marker adjustments to selective
8291 undo list based on whether their corresponding (TEXT . POS) is in
8292 the region. Remove variable adjusted-markers, which was unused
8293 and only non nil during undo-make-selective-list.
8294 (undo-elt-in-region): Return nil when passed a marker adjustment
8295 and explain in function doc.
8297 2014-03-26 Nicolas Richard <theonewiththeevillook@yahoo.fr>
8299 * align.el (align-region): Do not fail when end-mark is nil (bug#17088).
8301 2014-03-26 Dmitry Gutov <dgutov@yandex.ru>
8303 * progmodes/ruby-mode.el (ruby-expression-expansion-re):
8304 Match special global variables without curlies, too.
8305 (ruby-font-lock-keywords): Simplify the matcher for special global
8306 variables. Don't require a non-word character after the variable.
8309 2014-03-26 Stefan Monnier <monnier@iro.umontreal.ca>
8311 * simple.el (redisplay-highlight-region-function): Increase priority of
8312 overlay to make sure boundaries are visible (bug#15899).
8314 2014-03-26 Juanma Barranquero <lekktu@gmail.com>
8316 * frameset.el (frameset--initial-params): Fix typo in parameter name.
8317 (frameset-restore): Compare display strings with equal.
8319 * frame.el (make-frame): Don't quote display name in error message,
8320 it is already a string.
8322 2014-03-26 Thierry Volpiatto <thierry.volpiatto@gmail.com>
8324 * net/tramp.el (tramp-read-passwd): Suspend the timers while reading
8327 2014-03-26 Dmitry Gutov <dgutov@yandex.ru>
8329 * emacs-lisp/package.el (package--add-to-archive-contents):
8330 Include already installed and built-in packages in
8331 `package-archive-contents'.
8332 (package-install): Don't include already installed packages in the
8333 options during interactive invocation. (Bug#16762)
8334 (package-show-package-list): If the buffer is already displayed in
8335 another window, switch to that window.
8337 2014-03-26 Reto Zimmermann <reto@gnu.org>
8339 Sync with upstream vhdl mode v3.35.1.
8340 * progmodes/vhdl-mode.el (vhdl-version, vhdl-time-stamp): Update.
8341 (vhdl-compiler-alist): Doc fix.
8342 (vhdl-goto-line): Remove.
8343 (vhdl-mode-abbrev-table-init): Add XEmacs compat.
8344 (vhdl-mode) <paragraph-start>: Fix value.
8345 (vhdl-fix-statement-region): Not `for' in wait-statement.
8346 (vhdl-beautify-region): Also (un)tabify.
8347 (vhdl-get-visible-signals):
8348 Scan declarative part of generate statements.
8349 (vhdl-template-record): Fix indentation for record type declaration.
8350 (vhdl-expand-abbrev, vhdl-expand-paren):
8351 Revert to using fset again rather than defalias.
8352 (vhdl-scan-directory-contents): Tweak.
8353 (vhdl-speedbar-find-file, vhdl-speedbar-port-copy)
8354 (vhdl-compose-components-package):
8355 Replace vhdl-goto-line with forward-line.
8356 (top-level): Tweak speedbar frame selection.
8357 (vhdl-generate-makefile-1): Support for compilers with no
8358 unit-to-file name mapping (create directory with dummy files).
8360 2014-03-26 Wilson Snyder <wsnyder@wsnyder.org>
8362 Sync with upstream verilog-mode revision 702457d.
8363 * progmodes/verilog-mode.el (verilog-mode-version): Update.
8364 (create-lockfiles): Declare.
8365 (verilog-read-decls): Fix module header imports, bug709.
8366 Reported by Victor Lau.
8367 Fix parsing 'var' in AUTOs, msg1294. Reported by Dominique Chen.
8368 (verilog-auto-inout-module): Fix AUTOINOUTMODULE not inserting
8369 interface-only modules, bug721. Reported by Dean Hoyt.
8371 2014-03-26 Glenn Morris <rgm@gnu.org>
8373 * obsolete/gulp.el: Move here from emacs-lisp/.
8375 * files.el (lock-buffer, unlock-buffer, file-locked-p):
8376 Remove fallback aliases, since they are always defined now.
8378 2014-03-24 Daniel Colascione <dancol@dancol.org>
8380 * emacs-lisp/cl-macs.el (cl--do-arglist): Use `plist-member'
8381 instead of cl-loop search function.
8383 2014-03-23 Lars Ingebrigtsen <larsi@gnus.org>
8385 * calendar/parse-time.el (parse-time-iso8601-regexp)
8386 (parse-iso8601-time-string): Copy from `url-dav' so that we can use
8389 2014-03-23 Lars Ingebrigtsen <larsi@gnus.org>
8391 * net/dns.el (network-interface-list): Define for XEmacs.
8393 2014-03-23 Magnus Henoch <magnus.henoch@gmail.com>
8395 * net/dns.el (dns-servers-up-to-date-p): New function to see whether
8396 the network interfaces changed.
8397 (dns-query): Use it to flush the data.
8399 2014-03-23 Juanma Barranquero <lekktu@gmail.com>
8401 * vc/vc.el (vc-rollback): Use set-buffer-modified-p.
8403 2014-03-23 Daniel Colascione <dancol@dancol.org>
8405 Change subword-mode to use `find-word-boundary-function-table' and
8406 replace `capitalized-words-mode'. Also, convert to lexical binding.
8407 * progmodes/cap-words.el: Delete now-obsolete file.
8408 * progmodes/subword.el: Reimplement using
8409 `find-word-boundary-function-table'.
8410 (subword-mode-map): Hollow out.
8411 (capitalized-words-mode): Define as obsolete alias for
8413 (subword-mode, superword-mode): Tweak documentation to reflect new
8414 implementation; call `subword-setup-buffer'.
8415 (subword-forward, subword-capitalize): Add underscore to indicate
8417 (subword-find-word-boundary-function-table): New constant.
8418 (subword-empty-char-table): New constant.
8419 (subword-setup-buffer): New function.
8420 (subword-find-word-boundary): New function.
8422 2014-03-23 Daniel Colascione <dancol@dancol.org>
8424 * emacs-lisp/cl-macs.el (cl--do-arglist): Use a little `cl-loop'
8425 list to look for keyword arguments instead of `memq', fixing
8426 (Bug#3647) --- unfortunately, only for freshly-compiled code.
8427 Please make bootstrap.
8429 2014-03-22 Glenn Morris <rgm@gnu.org>
8431 * dired.el (dired-read-regexp): Make obsolete.
8432 (dired-mark-files-regexp, dired-mark-files-containing-regexp)
8433 (dired-flag-files-regexp):
8434 * dired-aux.el (dired-mark-read-regexp):
8435 * dired-x.el (dired-mark-unmarked-files): Use read-regexp directly.
8437 * startup.el (fancy-startup-text):
8438 * help.el (describe-gnu-project): Visit online info about GNU project.
8440 * help-fns.el (help-fns--interactive-only): New function.
8441 (help-fns-describe-function-functions): Add the above function.
8442 * simple.el (beginning-of-buffer, end-of-buffer, insert-buffer)
8443 (next-line, previous-line): Remove hand-written interactive-only
8444 information from doc strings, it is auto-generated now.
8445 * bookmark.el (bookmark-write):
8446 * epa-mail.el (epa-mail-decrypt, epa-mail-verify, epa-mail-sign)
8447 (epa-mail-import-keys): Mark interactive-only,
8448 and remove hand-written interactive-only information from doc strings.
8449 * epa.el (epa-decrypt-armor-in-region, epa-verify-region)
8450 (epa-verify-cleartext-in-region, epa-sign-region, epa-encrypt-region):
8451 * files.el (not-modified):
8452 * simple.el (mark-whole-buffer): Mark interactive-only.
8454 * emacs-lisp/byte-run.el (defun-declarations-alist):
8455 Add interactive-only. Doc tweak.
8456 (macro-declarations-alist): Doc tweak.
8457 * subr.el (declare): Doc tweak (add xref to manual).
8458 * comint.el (comint-run):
8459 * files.el (insert-file-literally, insert-file):
8460 * replace.el (replace-string, replace-regexp):
8461 * simple.el (beginning-of-buffer, end-of-buffer, delete-backward-char)
8462 (delete-forward-char, goto-line, insert-buffer, next-line)
8463 (previous-line): Set interactive-only via declare.
8465 2014-03-22 Dmitry Gutov <dgutov@yandex.ru>
8467 * emacs-lisp/package.el (package-desc): Use the contents of the
8468 quoted form, not its cdr. (Bug#16873)
8470 2014-03-22 Juanma Barranquero <lekktu@gmail.com>
8472 * w32-common-fns.el (x-selection-owner-p): Add empty docstring for the
8473 benefit of doc.c; change parameter profile to match the X function.
8475 2014-03-22 Leo Liu <sdl.web@gmail.com>
8477 * help.el (temp-buffer-setup-hook): Remove help-mode-setup.
8478 (temp-buffer-show-hook): Remove help-mode-finish. (Bug#16038)
8480 2014-03-21 Richard Stallman <rms@gnu.org>
8482 * battery.el (battery-linux-sysfs): Search for each field
8483 from the beginning of the buffer.
8485 * subr.el (set-transient-map): Clear out function and value
8486 of the temporary symbol when we're done with it.
8488 * mail/rmailsum.el (rmail-summary-delete-forward):
8489 Optimize case of reaching end and handling count.
8490 (rmail-summary-mark-deleted): Optimize when N is current msg.
8491 Don't create new summary line.
8492 (rmail-summary-undelete): Pass arg to rmail-undelete-previous-message.
8493 (rmail-summary-undelete-many): Rewrite for speed.
8494 (rmail-summary-msg-number): New function.
8496 * mail/rmail.el (rmail-delete-message): Update summary.
8497 (rmail-undelete-previous-message): Handle repeat count arg.
8498 (rmail-delete-backward, rmail-delete-forward): Likewise.
8500 2014-03-21 Daniel Colascione <dancol@dancol.org>
8502 * mail/emacsbug.el (report-emacs-bug): Include memory usage
8503 information in bug reports.
8505 2014-03-21 Michael Albinus <michael.albinus@gmx.de>
8507 * net/tramp.el (tramp-methods): Add docstring for `tramp-login-env'
8508 and `tramp-copy-env'.
8510 * net/tramp-sh.el (tramp-methods) <sudo>: Add `tramp-login-env'.
8511 (tramp-maybe-open-connection): Handle `tramp-login-env'.
8513 2014-03-21 Glenn Morris <rgm@gnu.org>
8515 * electric.el (electric-indent-post-self-insert-function): Add doc.
8517 2014-03-21 Dmitry Gutov <dgutov@yandex.ru>
8519 * emacs-lisp/package.el (package-compute-transaction):
8520 Use `version-list-<=' to compare the requirement version against
8521 the version of package already to be installed. Update the error
8522 message. (Bug#16826)
8524 * progmodes/ruby-mode.el (ruby-smie-rules):
8525 Add indentation rule for ` @ '. (Bug#17050)
8527 2014-03-21 Juanma Barranquero <lekktu@gmail.com>
8529 * align.el (align-regexp): Remove superfluous backslash.
8531 * ffap.el (ffap-ftp-default-user, ffap-url-regexp)
8532 (ffap-pass-wildcards-to-dired, dired-at-point-require-prefix)
8533 (ffap-rfc-path, ffap-ftp-sans-slash-regexp, ffap-menu-regexp):
8534 Fix docstring typos.
8535 (ffap-next): Use C-u in docstring.
8536 (ffap-machine-p, ffap-list-env, ffap-alist, ffap-alist)
8537 (ffap-string-at-point-mode-alist, ffap-menu, ffap-menu-ask):
8538 Remove superfluous backslashes.
8539 (ffap-string-at-point): Reflow docstring.
8541 * server.el (server-host): Reflow docstring.
8542 (server-unload-function): Fix docstring typo.
8543 (server-eval-at): Remove superfluous backslash.
8545 * skeleton.el (skeleton-insert): Remove superfluous backslash.
8546 (skeleton-insert): Doc fix.
8547 (skeleton-insert): Reflow docstring.
8549 * term/tty-colors.el (tty-color-alist, tty-modify-color-alist)
8550 (tty-color-approximate, tty-color-by-index, tty-color-values)
8551 (tty-color-desc): Remove superfluous backslashes.
8553 2014-03-21 Glenn Morris <rgm@gnu.org>
8555 * cus-start.el (history-length): Bump :version.
8557 * Makefile.in ($(MH_E_DIR)/mh-loaddefs.el)
8558 ($(TRAMP_DIR)/tramp-loaddefs.el, $(CAL_DIR)/cal-loaddefs.el)
8559 ($(CAL_DIR)/diary-loaddefs.el, $(CAL_DIR)/hol-loaddefs.el):
8560 Don't set `make-backup-files'.
8562 * info.el (info--prettify-description): New function,
8563 to give info-finder descriptions consistent case, punctuation.
8564 (Info-finder-find-node): Use it. Sort packages.
8565 Refer to "description" rather than "commentary".
8567 2014-03-21 Juanma Barranquero <lekktu@gmail.com>
8569 * frameset.el (frameset--print-register): New function.
8570 (frameset-to-register): Use it.
8572 2014-03-20 Juanma Barranquero <lekktu@gmail.com>
8574 * progmodes/hideif.el (hif-string-to-number): New function.
8575 (hif-tokenize): Use it to understand non-decimal floats.
8577 * emacs-lisp/cl-extra.el (cl--map-overlays): Remove obsolete code.
8579 * skeleton.el (skeleton-autowrap): Mark as obsolete. Doc fix.
8581 2014-03-20 Stefan Monnier <monnier@iro.umontreal.ca>
8583 * electric.el (electric-newline-and-maybe-indent): New command.
8584 Bind it globally to C-j.
8585 (electric-indent-mode): Don't mess with the global map any more.
8586 Don't drop the post-self-insert-hook is some buffer is still using it
8589 * bindings.el (global-map): Remove C-j binding.
8591 * emacs-lisp/nadvice.el (advice--make-docstring): Try harder to find
8592 the docstring of functions advised before dumping (bug#16993).
8594 2014-03-19 Stefan-W. Hahn <stefan.hahn@s-hahn.de> (tiny change)
8596 * ps-print.el (ps-generate-postscript-with-faces):
8597 Explicitly deactivate the mark (bug#16866).
8598 * simple.el (deactivate-mark): Update region highlight.
8600 2014-03-19 Juanma Barranquero <lekktu@gmail.com>
8602 * emacs-lisp/package.el (describe-package-1):
8603 Decode commentary (bug#16733).
8605 2014-03-18 Juanma Barranquero <lekktu@gmail.com>
8607 * custom.el (defcustom): Doc fix: recommend avoiding destructive
8608 modification of the value argument of :set (bug#16755).
8610 2014-03-18 Stefan Monnier <monnier@iro.umontreal.ca>
8612 * simple.el (newline-and-indent): Do autofill (bug#17031).
8614 2014-03-18 Dmitry Gutov <dgutov@yandex.ru>
8616 * newcomment.el (comment-normalize-vars): Only add escaping check
8617 to `comment-start-skip' if not `comment-use-syntax'. (Bug#16971)
8618 (comment-beginning): Use `narrow-to-region' instead of moving back
8620 (http://lists.gnu.org/archive/html/emacs-devel/2014-03/msg00488.html)
8621 (comment-start-skip): Update the docstring.
8623 2014-03-18 Richard Stallman <rms@gnu.org>
8625 * dired.el (dired-display-file): Force use of other window.
8627 2014-03-18 Daniel Colascione <dancol@dancol.org>
8629 * startup.el (tty-handle-args): Remove debug message from 2007.
8631 2014-03-17 Stefan Monnier <monnier@iro.umontreal.ca>
8633 * emacs-lisp/nadvice.el (advice--interactive-form): New function.
8634 (advice--make-interactive-form): Use it to avoid (auto)loading function.
8635 (advice--make-1, advice-add, advice-remove):
8636 Remove braindead :advice-pending hack.
8638 2014-03-17 Glenn Morris <rgm@gnu.org>
8640 * calendar/calendar.el (calendar-generate-month): Apply weekend
8641 face to the right days; fixes 2013-08-06 change. (Bug#17028)
8643 2014-03-17 Michael Albinus <michael.albinus@gmx.de>
8645 * net/tramp.el (tramp-action-out-of-band): Read pending output.
8646 (tramp-call-process): Trace also DESTINATION.
8648 * net/tramp-sh.el (tramp-do-copy-or-rename-file-out-of-band):
8649 Quote file names when they are local. Remove superfluous trace.
8651 2014-03-17 Dmitry Gutov <dgutov@yandex.ru>
8653 * newcomment.el (comment-beginning): If `comment-start-skip'
8654 doesn't match, move back one char and try again. (Bug#16971)
8656 * emacs-lisp/lisp-mode.el (lisp-mode-variables):
8657 Set `comment-use-syntax' to t to avoid the unnecessary runtime check.
8658 Set `comment-start-skip' to a simpler value that doesn't try to
8659 check if the semicolon is escaped (this is handled by
8660 `syntax-ppss' now). (Bug#16971)
8662 * progmodes/scheme.el (scheme-mode-variables): Same.
8664 2014-03-16 Martin Rudalics <rudalics@gmx.at>
8666 Fix behavior of with-temp-buffer-window (Bug#16816, Bug#17007).
8667 * window.el (with-temp-buffer-window): Don't make BUFFER-OR-NAME
8668 current (Bug#16816, Bug#17007).
8669 (with-current-buffer-window): New macro doing the same as
8670 `with-temp-buffer-window' but with BUFFER-OR-NAME current.
8671 * help.el (help-print-return-message): Warn in doc-string to not
8672 use this in `with-help-window'.
8673 (describe-bindings-internal): Call `describe-buffer-bindings'
8674 from within help buffer. See Juanma's scenario in (Bug#16816).
8675 (with-help-window): Update doc-string.
8676 * dired.el (dired-mark-pop-up):
8677 * files.el (save-buffers-kill-emacs):
8678 * register.el (register-preview): Use `with-current-buffer-window'
8679 instead of `with-temp-buffer-window'.
8681 2014-03-16 Juanma Barranquero <lekktu@gmail.com>
8683 * textmodes/rst.el (rst-arabic-to-roman, rst-roman-to-arabic):
8684 Implement inserting into current buffer, documented in their docstrings.
8685 (rst-define-key, rst-compare-adornments, rst-insert-list-new-item)
8686 (rst-section-tree-point, rst-forward-section, rst-indent)
8687 (rst-compute-tabs, rst-font-lock-find-unindented-line-end)
8688 (rst-font-lock-find-unindented-line-limit, rst-adornment-level)
8689 (rst-font-lock-handle-adornment-pre-match-form)
8690 (rst-repeat-last-character): Reflow docstrings.
8691 (rst-preferred-adornments, rst-update-section, rst-find-title-line)
8692 (rst-adjust-adornment-work, rst-initial-items, rst-insert-list)
8693 (rst-toc-insert-style, rst-toc-insert-node, rst-goto-section)
8694 (rst-compile, rst-imenu-convert-cell, rst-imenu-create-index):
8695 Fix docstring typos.
8696 (rst-all-sections, rst-section-hierarchy, rst-adjust): Doc fixes.
8697 (rst-uncomment-region, rst-font-lock-find-unindented-line-match)
8698 (rst-font-lock-handle-adornment-matcher): Mark unused arguments.
8700 2014-03-15 Juanma Barranquero <lekktu@gmail.com>
8702 * term/ns-win.el (x-command-line-resources): Rename from ns-... version,
8703 for compatibility with other ports.
8704 (ns-initialize-window-system): Use it. It is set in term/common-win.el
8705 from the -xrm command line argument, but in the Nextstep port its value
8706 is irrelevant because nsfns.m:Fx_open_connection ignores it for now.
8708 * progmodes/python.el (defconst, python-syntax-count-quotes)
8709 (python-indent-region, python-indent-shift-right)
8710 (python-indent-dedent-line-backspace, python-nav-backward-sexp)
8711 (python-nav-backward-sexp-safe, python-nav-backward-up-list)
8712 (python-shell-prompt-block-regexp, python-shell-prompt-output-regexp)
8713 (python-shell-prompt-pdb-regexp, python-shell-enable-font-lock)
8714 (inferior-python-mode, python-shell-make-comint, run-python-internal)
8715 (python-shell-buffer-substring, python-shell-send-buffer)
8716 (python-pdbtrack-activate, python-pdbtrack-stacktrace-info-regexp)
8717 (python-completion-complete-at-point, python-fill-docstring-style)
8718 (python-eldoc-function, python-imenu-format-item-label)
8719 (python-imenu-format-parent-item-label)
8720 (python-imenu-format-parent-item-jump-label)
8721 (python-imenu--build-tree, python-imenu-create-index)
8722 (python-imenu-create-flat-index): Fix docstring typos.
8723 (python-indent-context, python-shell-prompt-regexp, run-python):
8724 Remove superfluous backslashes.
8725 (python-indent-line, python-nav-beginning-of-defun)
8726 (python-shell-get-buffer, python-shell-get-process)
8727 (python-info-current-defun, python-info-current-line-comment-p)
8728 (python-info-current-line-empty-p, python-util-popn): Doc fixes.
8729 (python-indent-post-self-insert-function, python-shell-send-file)
8730 (python-shell-completion-get-completions)
8731 (python-shell-completion-complete-or-indent)
8732 (python-eldoc--get-doc-at-point): Reflow docstrings.
8734 2014-03-14 Glenn Morris <rgm@gnu.org>
8736 * emacs-lisp/package.el (package-menu-mode-map):
8737 Replace use of obsolete function alias. Tweak menu item text.
8739 * info.el (Info-finder-find-node):
8740 Ignore the `emacs' metapackage. (Bug#10813)
8742 * finder.el (finder-list-matches): Include unversioned packages
8743 in the result of a keyword search.
8745 * finder.el (finder--builtins-descriptions): New constant.
8746 (finder-compile-keywords): Use finder--builtins-descriptions.
8748 2014-03-14 Dmitry Gutov <dgutov@yandex.ru>
8750 * simple.el (blink-matching-paren): Describe the new value,
8751 `jump', enabling the old behavior.
8752 (blink-matching-open): Use that value. (Bug#17008)
8754 2014-03-14 Glenn Morris <rgm@gnu.org>
8756 * finder.el (finder-no-scan-regexp): Add leim-list.
8757 (finder-compile-keywords):
8758 Don't skip files with same basename. (Bug#14010)
8759 * Makefile.in (setwins_finder): New, excluding leim.
8760 (finder-data): Use setwins_finder.
8762 * help-fns.el (help-split-fundoc, help-add-fundoc-usage)
8763 (help-function-arglist, help-make-usage): Move from here...
8764 * help.el (help-split-fundoc, help-add-fundoc-usage)
8765 (help-function-arglist, help-make-usage): ... to here. (Bug#17001)
8766 * emacs-lisp/bytecomp.el (byte-compile-lambda): Do not load help-fns.
8768 2014-03-14 Juanma Barranquero <lekktu@gmail.com>
8770 * net/socks.el (socks, socks-override-functions)
8771 (socks-find-services-entry):
8772 * progmodes/hideif.el (hif-set-var, hif-nexttoken, hif-comma)
8773 (hif-find-ifdef-block):
8774 * progmodes/modula2.el (m2-indent): Fix docstring typos.
8776 * net/tls.el (tls-program): Reflow docstring.
8778 * progmodes/pascal.el (pascal-mode-abbrev-table)
8779 (pascal-imenu-generic-expression, pascal-auto-endcomments)
8780 (pascal-mark-defun, pascal-comment-area, pascal-indent-level)
8781 (pascal-outline-mode): Fix docstring typos.
8782 (pascal-mode): Let define-derived-mode document mode hook.
8783 (pascal-uncomment-area): Reflow.
8784 (pascal-exclude-str-start, pascal-exclude-str-end): Add docstring.
8786 * progmodes/opascal.el (opascal-compound-block-indent)
8787 (opascal-case-label-indent): Fix docstring typos.
8788 (opascal-mode): Fix typos; let defined-derived-mode document mode hook.
8790 2014-03-13 Dmitry Gutov <dgutov@yandex.ru>
8792 * progmodes/ruby-mode.el (ruby-font-lock-keywords):
8793 Fontify multiple adjacent negation chars. (Bug#17004)
8795 2014-03-13 Tom Willemse <tom@ryuslash.org> (tiny change)
8797 * emacs-lisp/package.el (package--prepare-dependencies):
8798 Accept requirements without explicit version (bug#14941).
8800 2014-03-12 Juanma Barranquero <lekktu@gmail.com>
8802 * register.el (register-separator, copy-to-register): Doc fixes.
8803 (register-preview-default): Remove unnecessary call to concat.
8805 * frameset.el (frameset-restore): When checking for a visible frame,
8806 use the action map instead of calling visible-frame-list.
8808 2014-03-12 Jonas Bernoulli <jonas@bernoul.li>
8810 * emacs-lisp/eieio.el (with-slots): Use cl-symbol-macrolet (bug#16998).
8812 2014-03-12 Martin Rudalics <rudalics@gmx.at>
8814 * window.el (fit-frame-to-buffer): Get maximum width from
8815 display's width instead of height.
8817 2014-03-12 Glenn Morris <rgm@gnu.org>
8819 * desktop.el (desktop-restore-frames)
8820 (desktop-restore-in-current-display, desktop-restore-forces-onscreen)
8821 (desktop-restore-reuses-frames): Doc tweaks.
8823 * electric.el (electric-indent-mode): Doc fix.
8825 2014-03-12 Juanma Barranquero <lekktu@gmail.com>
8827 * vc/pcvs.el (cvs-temp-buffer, defun-cvs-mode, cvs-get-cvsroot)
8828 (cvs-checkout, cvs-mode-checkout, cvs-update-filter, cvs-mode-mark)
8829 (cvs-mode-diff-head, cvs-mode-diff-repository, cvs-mode-diff-yesterday)
8830 (cvs-mode-diff-vendor, cvs-mode-do, cvs-change-cvsroot)
8831 (cvs-dired-use-hook): Fix docstring typos.
8832 (cvs-mode-view-file-other-window, cvs-mode-byte-compile-files):
8835 * vc/pcvs-defs.el (cvs-auto-remove-handled)
8836 (cvs-auto-remove-directories, cvs-default-ignore-marks)
8837 (cvs-idiff-imerge-handlers, cvs-reuse-cvs-buffer)
8838 (cvs-execute-single-dir): Fix docstring typos.
8840 * vc/pcvs-info.el (cvs-status-map, cvs-states): Fix docstring typos.
8841 (cvs-fileinfo-pp, cvs-fileinfo-from-entries): Doc fixes.
8843 * vc/pcvs-parse.el (cvs-parsed-fileinfo): Reflow docstring.
8845 * vc/pcvs-util.el (cvs-flags-query, cvs-flags-set, cvs-prefix-set):
8846 Fix docstring typos.
8848 2014-03-12 Juanma Barranquero <lekktu@gmail.com>
8850 * frameset.el (frameset--jump-to-register): Add autoload; it could be
8851 called from jump-to-register after unloading the frameset package.
8853 2014-03-11 Stefan Monnier <monnier@iro.umontreal.ca>
8855 * simple.el (set-mark): Ensure mark-active is nil if the mark is nil
8856 (bug#16975). Deactivate the mark before setting it to nil.
8857 (activate-mark): Do nothing if region is already active.
8859 2014-03-11 Juanma Barranquero <lekktu@gmail.com>
8861 * frameset.el (frameset--target-display): Remove definition; declare.
8862 (frameset-save, frameset-restore): Let-bind frameset--target-display.
8864 2014-03-11 Stefan Monnier <monnier@iro.umontreal.ca>
8866 * emacs-lisp/nadvice.el (advice--make-1): Fix autoloading avoidance.
8867 (advice-add): Add a :advice--pending marker, so advice--make-1 knows
8868 when the advice is pending.
8869 (advice-remove): Remove this marker when not needed any more.
8871 2014-03-11 Juanma Barranquero <lekktu@gmail.com>
8873 * frameset.el: Separate options for reusing frames and cleaning up.
8874 (frameset--reuse-list): Remove definition; declare.
8875 (frameset--action-map): Declare.
8876 (frameset--find-frame-if): Doc fix.
8877 (frameset--restore-frame): Cache frame action.
8878 (frameset-restore): New keyword arg CLEANUP-FRAMES, allows to select
8879 how to clean up the frame list after restoring. Remove cleaning
8880 options from REUSE-FRAMES. Change all keyword values to symbols.
8881 (frameset--jump-to-register): Simplify by using CLEANUP-FRAMES.
8883 * desktop.el (desktop-restore-forces-onscreen)
8884 (desktop-restore-reuses-frames): Use non-keyword values.
8885 (desktop-restore-frameset): Use CLEANUP-FRAMES arg of frameset-restore.
8887 2014-03-10 Glenn Morris <rgm@gnu.org>
8889 * files.el (find-file): Doc fix: update info node name.
8891 * emacs-lisp/advice.el (ad-add-advice, defadvice):
8892 Doc fix: remove references to deleted info nodes.
8894 2014-03-10 Michael Albinus <michael.albinus@gmx.de>
8896 * net/tramp-sh.el (tramp-open-connection-setup-interactive-shell):
8897 Do not add nil to the environment, when there's no remote `locale'.
8898 (tramp-find-inline-encoding): Check, that the remote host has
8899 installed perl, before sending scripts.
8901 2014-03-10 Leo Liu <sdl.web@gmail.com>
8903 * emacs-lisp/eldoc.el (eldoc-minibuffer-message):
8904 Clear eldoc-last-message. (Bug#16920)
8906 2014-03-10 Stefan Monnier <monnier@iro.umontreal.ca>
8908 * desktop.el (desktop-create-buffer): Don't run activate-mark-hook
8911 2014-03-09 Juri Linkov <juri@jurta.org>
8913 * ansi-color.el (ansi-color-names-vector): Copy default colors
8914 from `xterm-standard-colors' that look well on the default white
8915 background (and also on the black background) to avoid illegible
8916 color combinations like yellow-on-white and white-on-white.
8917 http://lists.gnu.org/archive/html/emacs-devel/2014-02/msg00157.html
8919 2014-03-08 Juanma Barranquero <lekktu@gmail.com>
8921 * frameset.el (frameset-restore): When no frame is visible, do not
8922 generate a list of frames, just make visible the selected one.
8924 2014-03-08 Dmitry Gutov <dgutov@yandex.ru>
8926 * vc/vc-git.el (vc-git-command): Turn FILE-OR-LIST into nil when
8927 it only contains the repository root. (Bug#16897)
8929 2014-03-07 Michael Albinus <michael.albinus@gmx.de>
8931 * net/tramp-sh.el (tramp-sh-handle-vc-registered): Run first pass
8932 only when `remote-file-name-inhibit-cache' is nil.
8933 (tramp-sh-file-name-handler): Use `tramp-error'. Simplify code.
8935 2014-03-06 Martin Rudalics <rudalics@gmx.at>
8937 * window.el (fit-frame-to-buffer, fit-frame-to-buffer-margins):
8939 (fit-frame-to-buffer): New argument ONLY. Remove dependency on
8940 fit-frame-to-buffer variable. Fix doc-string.
8941 (fit-window-to-buffer): Set ONLY argument in call of
8942 fit-frame-to-buffer. Fix doc-string.
8944 2014-03-06 Michael Albinus <michael.albinus@gmx.de>
8946 * net/tramp.el (tramp-error): VEC-OR-PROC can be nil.
8947 (tramp-action-password): Clear password cache if needed.
8948 (tramp-read-passwd): Do not clear password cache.
8950 * net/tramp-gvfs.el (tramp-gvfs-handler-askpassword): Clear password
8951 cache unless it is the first password request.
8953 2014-03-06 Glenn Morris <rgm@gnu.org>
8955 * simple.el (newline): Doc tweak.
8957 * emacs-lisp/shadow.el (load-path-shadows-find):
8958 Ignore dir-locals. (Bug#12357)
8960 2014-03-05 Glenn Morris <rgm@gnu.org>
8962 * files.el (interpreter-mode-alist):
8963 * progmodes/sh-script.el (sh-ancestor-alist): Add dash. (Bug#16938)
8965 2014-03-05 Juanma Barranquero <lekktu@gmail.com>
8967 * frameset.el (frameset--initial-params): Filter out null entries.
8969 2014-03-05 Martin Rudalics <rudalics@gmx.at>
8971 * window.el (window-min-height, window-min-width):
8972 Rewrite doc-strings.
8973 (window-body-size): Add PIXELWISE argument to make it consistent
8976 2014-03-05 Juanma Barranquero <lekktu@gmail.com>
8978 * finder.el (finder-mode-map, finder-mode-syntax-table):
8979 Revert part of 2014-02-28 change.
8981 2014-03-05 Lars Ingebrigtsen <larsi@gnus.org>
8983 * net/eww.el (eww-mode-map): [tab] doesn't work on tty.
8984 (eww-setup-buffer): Clear next/prev/etc more reliably.
8985 (eww-textarea-map): [tab] doesn't work on tty.
8986 Reported by Mario Lang.
8988 * net/shr.el (shr-map): Ditto.
8990 2014-03-04 Glenn Morris <rgm@gnu.org>
8992 * minibuffer.el (completion-hilit-commonality):
8993 Revert 2014-03-01 short-cut, which changed the return value. (Bug#16933)
8995 2014-03-04 Juanma Barranquero <lekktu@gmail.com>
8997 * hilit-chg.el (hilit-chg-unload-function): New function.
8998 (highlight-changes-mode, highlight-changes-visible-mode): Fix typos.
8999 (hilit-chg-map-changes): Prefer cardinal number to digit.
9000 (hilit-chg-display-changes): Reflow docstring.
9001 (highlight-changes-rotate-faces): Remove superfluous backslash.
9003 2014-03-04 Michael Albinus <michael.albinus@gmx.de>
9005 * net/tramp-sh.el (tramp-sh-handle-vc-registered): Do not call
9006 `tramp-send-command-and-check'.
9008 2014-03-04 Juanma Barranquero <lekktu@gmail.com>
9010 * hexl.el (hexl-address-region, hexl-ascii-region)
9011 (hexl-goto-hex-address, hexl-backward-char, hexl-forward-char)
9012 (hexl-backward-short, hexl-forward-short, hexl-backward-word)
9013 (hexl-forward-word, hexl-previous-line, hexl-next-line):
9014 Use "Hexl mode" for non-hyperlinked hexl-mode references in docstrings.
9015 (hexl-mode): Doc fix.
9016 (hexl-ascii-start-column, hexl-beginning-of-line, hexl-end-of-line)
9017 (hexl-mode-ruler): Fix typos in docstrings.
9019 * strokes.el (strokes-xpm-header, strokes-rate-stroke): Fix typos.
9020 (strokes-character, strokes-get-grid-position, strokes-list-strokes):
9021 Remove superfluous backslashes.
9022 (strokes-last-stroke, strokes-global-map, strokes-mode):
9024 (strokes-xpm-for-stroke, strokes-xpm-to-compressed-string)
9025 (strokes-xpm-for-compressed-string): Use quotes with buffer name.
9026 (strokes-distance-squared, strokes-global-set-stroke)
9027 (strokes-global-set-stroke-string): Doc fixes.
9028 (strokes-help): Fix typos; reflow docstring.
9030 2014-03-04 Martin Rudalics <rudalics@gmx.at>
9032 * window.el (window-in-direction): Fix doc-string.
9034 2014-03-04 Glenn Morris <rgm@gnu.org>
9036 * emacs-lisp/smie.el (smie-config-guess): Doc fix.
9037 Explicit error if no grammar.
9038 (smie-config-save): Doc fix. Fix quote typo.
9040 2014-03-04 Stefan Monnier <monnier@iro.umontreal.ca>
9042 * progmodes/cc-mode.el (c-initialize-cc-mode): Only hook into
9043 electric-indent-mode-hook if we obey electric-indent-mode.
9044 (c-basic-common-init): Use (fboundp 'electric-indent-local-mode) to
9045 decide whether we obey electric-indent-mode.
9046 (c-change-set-fl-decl-start, c-extend-after-change-region):
9048 (c-electric-indent-mode-hook): Assume we do want to obey
9049 electric-indent-mode.
9051 * electric.el (electric-indent-mode-has-been-called): Remove.
9052 (electric-indent-mode): Fix accordingly.
9054 * files.el (hack-local-variables): Mention file name in warning.
9056 * htmlfontify.el (hfy-fontify-buffer): Drop `invis-range' message.
9058 2014-03-04 Michal Nazarewicz <mina86@mina86.com>
9060 * bindings.el: Add comment describing why C-d binds to `delete-char'.
9061 * simple.el (delete-forward-char): Mark as interactive-only.
9063 2014-03-03 Juanma Barranquero <lekktu@gmail.com>
9065 * icomplete.el (icomplete-completions):
9066 Follow-up to 2014-03-01 change.
9068 * icomplete.el: Miscellaneous doc fixes.
9069 Use Icomplete everywhere instead of icomplete for consistency.
9070 (icomplete-max-delay-chars): Fix typo.
9071 (icomplete-mode): Use \[].
9072 (icomplete-tidy, icomplete-exhibit): Reflow.
9073 (icomplete-minibuffer-setup-hook, icomplete-completions):
9074 Remove superfluous backlashes.
9076 * ido.el: Miscellaneous doc fixes.
9077 Use Ido everywhere instead of ido or `ido' for consistency.
9078 (ido-record-ftp-work-directories, ido-merge-ftp-work-directories)
9079 (ido-cache-ftp-work-directory-time, ido-slow-ftp-hosts)
9080 (ido-slow-ftp-host-regexps, ido-reread-directory): Upcase "ftp".
9081 (ido-separator): Extract obsolescence info from docstring and declare
9082 with make-obsolete-variable.
9083 (ido-minibuffer-setup-hook): Simplify example.
9084 (ido-text, ido-text-init, ido-input-stack, ido-report-no-match)
9085 (ido-wide-find-file, ido-wide-find-dir, ido-wide-find-dir-or-delete-dir)
9086 (ido-completion-help, ido-completing-read): Fix typos in docstrings.
9087 (ido-everywhere): Reflow docstring.
9088 (ido-toggle-vc): Doc fix.
9089 (ido-switch-buffer, ido-find-file): Use tabs to improve legibility
9090 of long list of keybindings.
9092 2014-03-03 Glenn Morris <rgm@gnu.org>
9094 * frame.el (display-pixel-height, display-pixel-width)
9095 (display-mm-dimensions-alist, display-mm-height)
9096 (display-mm-width): Doc tweaks.
9098 2014-03-02 Barry O'Reilly <gundaetiapo@gmail.com>
9100 * simple.el (undo-elt-in-region): Fix buffer corruption for edge
9101 case of undo in region.
9103 2014-03-02 Martin Rudalics <rudalics@gmx.at>
9105 * window.el (fit-window-to-buffer): Fix argument in window-size
9106 call when window is horizontally combined.
9108 2014-03-02 Juanma Barranquero <lekktu@gmail.com>
9110 * icomplete.el (icomplete-completions): Use string-width.
9111 Suggested by Stefan Monnier <monnier@iro.umontreal.ca>.
9113 2014-03-01 Dmitry Gutov <dgutov@yandex.ru>
9115 * progmodes/ruby-mode.el (ruby-font-lock-keywords):
9116 Highlight regexp options. (Bug#16914)
9118 2014-03-01 Martin Rudalics <rudalics@gmx.at>
9120 * window.el (window--max-delta-1): Round down when calculating
9121 how many lines/columns we can get from a window.
9123 2014-03-01 Glenn Morris <rgm@gnu.org>
9125 * isearch.el (search-invisible): Doc fix.
9127 * minibuffer.el (completion-hilit-commonality):
9128 Make `base-size' argument optional. Short-cut if `prefix-len' is 0.
9129 * comint.el (comint-dynamic-list-completions): Doc fix.
9130 * comint.el (comint-dynamic-list-completions):
9131 * filecache.el (file-cache-minibuffer-complete):
9132 * tempo.el (tempo-display-completions):
9133 * eshell/em-hist.el (eshell-list-history):
9134 Replace use of obsolete argument of display-completion-list.
9136 2014-03-01 Juanma Barranquero <lekktu@gmail.com>
9138 * icomplete.el (icomplete-completions):
9139 Revert back to using "..." when ?… cannot be displayed.
9141 2014-02-28 Juanma Barranquero <lekktu@gmail.com>
9143 * finder.el (finder-unload-function): New function.
9145 2014-02-28 Juanma Barranquero <lekktu@gmail.com>
9147 * dframe.el (dframe-detach):
9148 * find-dired.el (find-dired, find-name-dired):
9149 * finder.el (finder-mode-map, finder-mode-syntax-table)
9150 (finder-headmark, finder-select, finder-mouse-select):
9151 Fix docstring typos.
9153 2014-02-28 Martin Rudalics <rudalics@gmx.at>
9155 Revert recent with-temp-buffer-window change (Bug#16816, Bug#16882).
9156 * window.el (with-temp-buffer-window): Revert change from 2014-02-21.
9157 Suggested by Thierry Volpiatto <thierry.volpiatto@gmail.com>.
9158 Fix doc-string based on a suggestion by Nicolas Richard
9159 <theonewiththeevillook@yahoo.fr>.
9160 * help.el (with-help-window): Fix doc-string.
9162 2014-02-28 Ivan Kanis <ivan@kanis.fr>
9164 * net/shr.el (shr-image-animate): New option.
9165 (shr-put-image): Respect shr-image-animate.
9167 2014-02-28 Michael Albinus <michael.albinus@gmx.de>
9169 * net/tramp-adb.el (tramp-adb-parse-device-names):
9170 Use `accept-process-output'.
9171 (tramp-adb-handle-file-truename): Cache the localname only.
9172 (tramp-adb-handle-make-directory)
9173 (tramp-adb-handle-delete-directory): Flush file properties correctly.
9174 (tramp-adb-handle-set-file-modes): Do not raise an error when file
9175 modes cannot be changed.
9177 * net/tramp-cache.el (tramp-flush-directory-property): Remove also
9178 file properties of symlinks.
9180 2014-02-28 Per Starbäck <starback@stp.lingfil.uu.se>
9182 * textmodes/bibtex.el (bibtex-biblatex-entry-alist): Update
9183 required/optional fields to match development biblatex. (Bug#16781)
9185 2014-02-28 Andy Sawyer <andy.sawyer@gmail.com> (tiny change)
9187 * saveplace.el (toggle-save-place):
9188 Fix argument handling. (Bug#16673)
9190 2014-02-28 Glenn Morris <rgm@gnu.org>
9192 * minibuffer.el (completions-first-difference)
9193 (completions-common-part, completion-hilit-commonality): Doc fixes.
9195 2014-02-28 Karl Berry <karl@gnu.org>
9197 * info.el (Info-mode-map): Add H for describe-mode,
9198 to synchronize with standalone Info.
9200 2014-02-28 Emilio C. Lopes <eclig@gmx.net>
9202 * progmodes/sql.el (sql-interactive-mode):
9203 Avoid setting global comint-input-ring-separator. (Bug#16814)
9205 2014-02-27 Michael Albinus <michael.albinus@gmx.de>
9207 * net/dbus.el (dbus--init-bus): Declare function.
9208 (dbus-path-local, dbus-interface-local): New defconst.
9209 (dbus-init-bus): Use them.
9210 (dbus-return-values-table): Extend doc.
9211 (dbus-handle-bus-disconnect): Extend error message.
9213 2014-02-27 Juanma Barranquero <lekktu@gmail.com>
9215 * subr.el (y-or-n-p): Fix double space issue in message.
9217 2014-02-27 Michael Albinus <michael.albinus@gmx.de>
9219 * net/tramp.el (tramp-call-process): Improve trace message.
9220 (tramp-handle-insert-file-contents): Trace error case.
9222 * net/tramp-adb.el (tramp-adb-file-name-handler-alist)
9223 <insert-directory>: Use `tramp-handle-insert-directory'.
9224 (tramp-adb-handle-insert-directory): Remove function.
9225 (tramp-adb-send-command-and-check): New defun, replacing
9226 `tramp-adb-command-exit-status'. Change all callees.
9227 (tramp-adb-handle-file-attributes)
9228 (tramp-adb-handle-directory-files-and-attributes): Use it.
9229 (tramp-adb-ls-output-name-less-p):
9230 Use `directory-listing-before-filename-regexp'.
9231 (tramp-adb-handle-delete-directory): Flush also file properties of
9232 the truename of directory.
9233 (tramp-adb-handle-file-name-all-completions): Add "./" and "../".
9234 (tramp-adb-handle-file-local-copy): Make the local copy readable.
9235 (tramp-adb-handle-write-region): Implement APPEND.
9236 (tramp-adb-handle-rename-file): Make it more robust. Flush file
9237 properties correctly.
9238 (tramp-adb-maybe-open-connection): Set `tramp-current-*'
9239 variables. Check for connected devices only when needed.
9241 2014-02-27 Glenn Morris <rgm@gnu.org>
9243 * minibuffer.el (completion-table-dynamic)
9244 (completion-table-with-cache): Doc fixes.
9246 * emacs-lisp/crm.el (crm-default-separator, crm-separator)
9247 (completing-read-multiple): Doc fixes.
9249 2014-02-27 Daniel Colascione <dancol@dancol.org>
9251 * minibuffer.el (completion--nth-completion): Fix indentation.
9253 * net/tramp-sh.el (tramp-get-remote-path): Don't signal error when
9254 explicit tramp path is empty.
9256 2014-02-27 Glenn Morris <rgm@gnu.org>
9258 * emacs-lisp/crm.el (completing-read-multiple):
9259 Empower help-enable-auto-load.
9261 2014-02-26 Glenn Morris <rgm@gnu.org>
9263 * startup.el (command-line): Don't init the tty in daemon mode.
9265 Avoid calling tty-setup-hook twice, eg if a term file
9266 explicitly calls tty-run-terminal-initialization. (Bug#16859)
9267 * faces.el (tty-run-terminal-initialization): Add run-hook argument.
9268 (tty-create-frame-with-faces): Use it.
9269 * startup.el (command-line): Pass run-hook argument
9270 to tty-run-terminal-initialization.
9272 * dired.el (dired-restore-desktop-buffer): Demote errors;
9273 eg in case a glob match fails. (Bug#16884)
9275 2014-02-26 Dmitry Gutov <dgutov@yandex.ru>
9277 * emacs-lisp/lisp.el (lisp--local-variables): Catch `end-of-file'
9278 error from `read-from-string'. (Bug#16850)
9280 * emacs-lisp/ert.el (ert-run-tests-interactively): `read' the
9281 result of `completing-read' in the interactive form. (Bug#16854)
9283 2014-02-25 Glenn Morris <rgm@gnu.org>
9285 * image.el (image-animate, image-animate-timeout):
9286 Stop animating images in dead buffers. (Bug#16878)
9288 * emacs-lisp/edebug.el (defmacro): Fix debug spec. (Bug#16868)
9290 * faces.el (tty-setup-hook, tty-run-terminal-initialization):
9292 * startup.el (term-setup-hook): Doc fix. Make obsolete.
9293 * term/sun.el (sun-raw-prefix-hooks):
9294 Use tty-setup-hook instead of term-setup-hook.
9295 (terminal-init-sun): Construct message from bytecomp plist.
9296 * term/wyse50.el (enable-arrow-keys): Doc fix.
9298 2014-02-24 Juanma Barranquero <lekktu@gmail.com>
9300 * term/sun.el (kill-region-and-unmark, sun-raw-prefix-hooks):
9301 Fix docstring typos.
9303 2014-02-24 Michael Albinus <michael.albinus@gmx.de>
9305 * net/tramp-sh.el (tramp-sh-handle-file-truename): Improve last fix.
9307 2014-02-24 Nicolas Richard <theonewiththeevillook@yahoo.fr>
9309 * minibuffer.el (completion--try-word-completion):
9310 Fix error when completing M-x commands (bug#16808).
9312 2014-02-24 Leo Liu <sdl.web@gmail.com>
9314 * emacs-lisp/easy-mmode.el (define-minor-mode): Fix debug spec.
9316 2014-02-24 Juanma Barranquero <lekktu@gmail.com>
9318 * apropos.el (apropos-print): Avoid formatting error when
9319 apropos-do-all and apropos-compact-layout are both t.
9321 2014-02-23 Juanma Barranquero <lekktu@gmail.com>
9323 * apropos.el (apropos-property, apropos-all-words-regexp)
9324 (apropos-true-hit, apropos-variable, apropos-print):
9325 Fix docstring typos, and remove obsolete comment.
9327 2014-02-23 Michael Albinus <michael.albinus@gmx.de>
9329 * net/tramp-sh.el (tramp-sh-handle-file-truename):
9330 Preserve trailing "/". (Bug#16851)
9332 2014-02-23 Dmitry Gutov <dgutov@yandex.ru>
9334 * progmodes/ruby-mode.el (ruby-smie-rules): Don't indent specially
9335 after `=>' (bug#16811).
9336 (ruby-smie-rules): Handle the inconsistent second element of the
9337 list returned by `smie-indent--parent'.
9338 (ruby-font-lock-keywords): Disqualify any identifier before `=' as
9341 2014-02-23 Juanma Barranquero <lekktu@gmail.com>
9343 * elec-pair.el (electric-pair-text-syntax-table)
9344 (electric-pair-syntax-info, electric-pair--syntax-ppss)
9345 (electric-pair--balance-info, electric-pair-mode): Fix docstring typos.
9346 (electric-pair--looking-at-unterminated-string-p): Doc fix.
9347 (electric-pair--inside-string-p): Doc fix. Use `let', not `let*'.
9349 2014-02-22 Glenn Morris <rgm@gnu.org>
9351 * imenu.el (imenu--generic-function): Doc fix.
9353 * register.el (frame-configuration-to-register): Make obsolete.
9355 2014-02-22 Juanma Barranquero <lekktu@gmail.com>
9357 * desktop.el (desktop-save-buffer-p): Do not fail when
9358 desktop-files-not-to-save is nil. Return t for true result
9361 2014-02-22 Daniel Colascione <dancol@dancol.org>
9363 * net/secrets.el (secrets-create-item, secrets-search-items):
9364 Check that attribute values are strings, avoiding the construction
9365 of invalid dbus messages.
9367 2014-02-21 Juanma Barranquero <lekktu@gmail.com>
9369 * emacs-lisp/gv.el: Avoid duplicating gv-expander and gv-setter in
9370 defun-declarations-alist.
9372 2014-02-21 Stefan Monnier <monnier@iro.umontreal.ca>
9374 * emacs-lisp/cl-macs.el (cl-define-compiler-macro): Add indent rule
9377 2014-02-21 Juanma Barranquero <lekktu@gmail.com>
9379 * whitespace.el (whitespace-space, whitespace-hspace, whitespace-tab)
9380 (whitespace-newline, whitespace-trailing, whitespace-line)
9381 (whitespace-space-before-tab, whitespace-indentation, whitespace-empty)
9382 (whitespace-space-after-tab): Fix typo in docstrings.
9384 2014-02-21 Dmitry Gutov <dgutov@yandex.ru>
9386 * progmodes/ruby-mode.el (auto-mode-alist): Add missing "or".
9388 * electric.el (electric-indent-functions-without-reindent):
9389 Add `yaml-indent-line'.
9391 2014-02-21 Juanma Barranquero <lekktu@gmail.com>
9393 * w32-vars.el (w32-enable-synthesized-fonts): Mark as obsolete.
9394 It has done nothing for years; should be removed after the release.
9396 * simple.el (choose-completion): Fix docstring typo.
9397 (read-quoted-char-radix): Remove unneeded * in docstring.
9398 (process-file, kill-whole-line, pop-to-mark-command, set-mark-command):
9399 Don't escape parentheses unnecessarily in docstrings.
9401 2014-02-21 Martin Rudalics <rudalics@gmx.at>
9403 Fix handling of window-min-height/-width (Bug#16738).
9404 * window.el (window--dump-window, window--dump-frame):
9406 (window--min-size-1): Account for window dividers.
9407 When window-resize-pixelwise is nil, delay rounding till after the
9408 sum of the window components has been calculated.
9409 (window--min-delta-1, window--max-delta-1): When PIXELWISE is
9410 nil make sure at least one text line and two text columns remain
9412 (window-resize): Signal an error when window-resize-apply fails.
9413 (window--resize-child-windows): Fix calculation of by how many
9414 pixels a window can still be shrunk via window-new-normal.
9415 (adjust-window-trailing-edge): Call window--resizable with
9416 correct TRAIL argument.
9418 (with-temp-buffer-window): Don't evaluate BODY within
9419 with-current-buffer (Bug#16816).
9421 2014-02-21 Michael Albinus <michael.albinus@gmx.de>
9423 * net/tramp.el (tramp-check-cached-permissions):
9424 Call `file-attributes' with `suffix' being a symbol but a string.
9426 2014-02-21 Daniel Colascione <dancol@dancol.org>
9428 * net/dbus.el (dbus-init-bus-1): Declare new subr.
9429 (dbus-init-bus): New function: call into dbus-init-bus-1
9430 and installs a handler for the disconnect signal.
9431 (dbus-call-method): Rewrite to look for result in cons.
9432 (dbus-call-method-handler): Store result in cons.
9433 (dbus-check-event): Recognize events with nil sender as valid.
9434 (dbus-handle-bus-disconnect): New function. React to bus
9435 disconnection signal by synthesizing dbus error for each
9436 pending synchronous or asynchronous call.
9437 (dbus-notice-synchronous-call-errors): New function.
9438 (dbus-handle-event): Raise errors directly only when `dbus-debug'
9439 is true, not all the time.
9441 2014-02-21 Juanma Barranquero <lekktu@gmail.com>
9443 * w32-fns.el (w32-enable-italics, w32-charset-to-codepage-alist):
9444 Remove obsolescence declarations, these variables do not exist anymore.
9446 * savehist.el (savehist-save-minibuffer-history)
9447 (savehist-additional-variables, savehist-file, savehist-mode-hook)
9448 (savehist-save-hook, savehist-coding-system, savehist-loaded)
9449 (savehist-load, savehist-install, savehist-autosave): Fix typos;
9450 mostly, refer to "Savehist mode" when talking about the mode,
9451 and not the function.
9453 * saveplace.el (save-place): Remove redundant info in docstring.
9454 (save-place-forget-unreadable-files, toggle-save-place)
9455 (save-place-forget-unreadable-files, save-place-dired-hook):
9456 Fix typos and remove unneeded backslashes.
9458 2014-02-20 Michael Albinus <michael.albinus@gmx.de>
9460 * net/tramp.el (ls-lisp-use-insert-directory-program): Declare.
9461 (tramp-handle-insert-directory): New defun, taken from tramp-gvfs.el.
9463 * net/tramp-gvfs.el (tramp-gvfs-file-name-handler-alist)
9464 <insert-directory>: Use `tramp-handle-insert-directory'.
9465 (tramp-gvfs-handle-insert-directory): Remove function.
9467 * net/tramp-sh.el (tramp-sh-handle-insert-directory):
9468 Call `tramp-handle-insert-directory'.
9470 2014-02-20 Juanma Barranquero <lekktu@gmail.com>
9472 * elec-pair.el (electric-pair-syntax-info): Do not check syntax
9473 before the start of buffer/region (bug#16799).
9475 2014-02-20 Glenn Morris <rgm@gnu.org>
9477 * isearch.el (search-invisible): Doc fix.
9479 2014-02-20 W. Trevor King <wking@tremily.us> (tiny change)
9481 * term/xterm.el (xterm--version-handler): Adapt to xterm-280's output
9484 2014-02-19 Juanma Barranquero <lekktu@gmail.com>
9486 * frameset.el (frameset-restore): Delay removing an old frame's
9487 duplicate id until the new frame has been correctly created.
9489 2014-02-19 Michael Albinus <michael.albinus@gmx.de>
9491 * net/tramp.el (tramp-handle-make-symbolic-link): New defun.
9492 (tramp-check-cached-permissions): Call `file-attributes' if the
9495 * net/tramp-adb.el (tramp-adb-file-name-handler-alist)
9496 <make-symbolic-link>: Use `tramp-handle-make-symbolic-link'.
9498 * net/tramp-gvfs.el (tramp-gvfs-file-name-handler-alist)
9499 <make-symbolic-link>: Use `tramp-handle-make-symbolic-link'.
9500 (tramp-gvfs-maybe-open-connection): Set always connection
9501 properties, even if target is mounted already.
9503 * net/tramp-sh.el (tramp-color-escape-sequence-regexp):
9504 Set tramp-autoload cookie.
9505 (tramp-get-remote-touch): New defun.
9506 (tramp-sh-handle-set-file-times): Use it.
9507 (tramp-sh-handle-directory-files-and-attributes):
9508 Use `tramp-handle-directory-files-and-attributes' if neither stat
9509 nor perl are available on the remote host.
9511 * net/tramp-smb.el (tramp-smb-handle-insert-directory): Mark trailing
9512 "/". Write long listing only when "l" belongs to the switches.
9514 * net/trampver.el: Update release number.
9516 2014-02-19 Juanma Barranquero <lekktu@gmail.com>
9518 * frameset.el (frameset--reuse-frame): Remove workaround for bug#16793.
9520 2014-02-19 Martin Rudalics <rudalics@gmx.at>
9522 * window.el (window-state-put): Allow WINDOW to refer to an
9523 internal window (Bug#16793).
9525 2014-02-19 Glenn Morris <rgm@gnu.org>
9527 * textmodes/remember.el: Move provide statement to end.
9528 (remember-mode-map, remember-notes-mode-map, remember-notes-mode)
9529 (remember-notes): Doc fixes.
9531 2014-02-18 Stefan Monnier <monnier@iro.umontreal.ca>
9533 * delsel.el (delete-char): Restore incorrectly erased property
9536 2014-02-18 Juanma Barranquero <lekktu@gmail.com>
9538 * frameset.el (frameset--restore-frame): When a frame is being reused
9539 and its root window is not alive, delete all the frame's windows before
9540 restoring the window state. This works around the issue in bug#16793.
9542 2014-02-18 Glenn Morris <rgm@gnu.org>
9544 * textmodes/remember.el (remember-data-directory)
9545 (remember-directory-file-name-format, remember-store-in-files)
9546 (remember-notes-initial-major-mode, remember-notes-bury-on-kill)
9547 (remember-notes-save-and-bury-buffer)
9548 (remember-notes--kill-buffer-query): Doc fixes.
9550 * desktop.el (desktop-save-mode, desktop-auto-save-timeout): Doc fixes.
9552 2014-02-17 Alan Mackenzie <acm@muc.de>
9554 Connect electric-indent-mode up with CC Mode. Bug #15478.
9555 * progmodes/cc-mode.el (c-initialize-cc-mode): Add CC Mode hooks
9556 to electric-indent-{,local-}-mode.
9557 (c-basic-common-init): Set electric-indent-inhibit.
9558 Initialise c-electric-flag from electric-indent-mode.
9559 (c-electric-indent-mode-hook, c-electric-indent-local-mode-hook):
9560 New hook functions which propagate electric-indent-mode to CC mode.
9562 * progmodes/cc-cmds.el (c-toggle-electric-state): When C-c C-l is
9563 hit, toggle electric-indent-local-mode.
9565 * electric.el (electric-indent-mode-has-been-called):
9568 2014-02-17 Juanma Barranquero <lekktu@gmail.com>
9570 * frameset.el (frameset-cfg-id): New function.
9571 (frameset--reuse-frame, frameset-restore): Use it.
9572 (frameset--jump-to-register): Try harder to reuse frames (bug#16748).
9574 2014-02-17 Stefan Monnier <monnier@iro.umontreal.ca>
9576 * ido.el (ido-file-internal): Remove unused var `d'.
9577 Use \` for to match BoS. Fit within 80n columns.
9579 2014-02-17 Daniel Colascione <dancol@dancol.org>
9581 * net/dbus.el (dbus-call-method): Work around bug#16775 by having
9582 dbus-call-method check for completion using a busy-wait loop with
9585 2014-02-16 Michael Albinus <michael.albinus@gmx.de>
9587 Sync with Tramp 2.2.9.
9589 * net/trampver.el: Update release number.
9591 2014-02-16 Dmitry Gutov <dgutov@yandex.ru>
9593 * ido.el (ido-file-internal): Don't add the name of an existing
9594 directory twice. (Bug#16747)
9596 2014-02-16 Glenn Morris <rgm@gnu.org>
9598 * vc/ediff-init.el (ediff-use-faces, ediff-highlight-all-diffs):
9599 Do not use ediff-defvar-local on pre-defined variables. (Bug#16744)
9601 2014-02-15 Michael R. Mauger <michael@mauger.com>
9603 * progmodes/sql.el: Version 3.4
9604 (sql-oracle-options): New default value ("-L").
9605 (sql-mode-oracle-font-lock-keywords): Add placeholder highlighting.
9606 (sql-placeholders-filter): Correct placeholder pattern.
9607 (sql-read-table-name): Bug fix. Detect absence of SQLi process.
9608 (sql-login-delay): New variable.
9609 (sql-product-interactive): Use it.
9611 2014-02-15 Juanma Barranquero <lekktu@gmail.com>
9613 * frameset.el (frameset--jump-to-register): Check that buffer is live
9616 2014-02-15 Glenn Morris <rgm@gnu.org>
9618 * info.el (info-initialize): Revert 2014-01-10 change.
9620 2014-02-14 Glenn Morris <rgm@gnu.org>
9622 * replace.el (map-query-replace-regexp)
9623 (read-regexp-defaults-function, read-regexp): Doc fixes.
9625 * dired.el (dired-read-regexp):
9626 * faces.el (list-faces-display):
9627 * misearch.el (multi-isearch-read-matching-buffers)
9628 (multi-isearch-read-matching-files):
9629 * play/cookie1.el (cookie-apropos):
9630 * progmodes/grep.el (grep-read-regexp): Doc fixes.
9632 * textmodes/remember.el (remember): Use frameset-to-register
9633 rather than frame-configuration-to-register.
9635 2014-02-14 Jay Belanger <jay.p.belanger@gmail.com>
9637 * calc/calc-menu.el (calc-vectors-menu): Remove menu item for
9638 incorrect keybinding.
9640 2014-02-13 Daniel Colascione <dancol@dancol.org>
9642 * progmodes/flymake.el (flymake-post-syntax-check): Widen buffer
9643 when adding overlays so that line numbers from compiler match line
9646 2014-02-13 Glenn Morris <rgm@gnu.org>
9648 * mail/rmail.el (rmail-probe): Be less strict. (Bug#16743)
9650 * jit-lock.el (jit-lock-mode): Doc fix.
9652 2014-02-13 Juanma Barranquero <lekktu@gmail.com>
9654 * apropos.el (apropos-read-pattern): When the user passes an empty
9655 string, give a more helpful error message than "Wrong type
9656 argument: stringp, nil".
9658 2014-02-13 Stefan Monnier <monnier@iro.umontreal.ca>
9660 * jit-lock.el (jit-lock-mode): Keep it disabled in indirect buffers.
9662 2014-02-13 Glenn Morris <rgm@gnu.org>
9664 * finder.el (finder-known-keywords, finder-mode-map): Doc fixes.
9666 2014-02-12 Stefan Monnier <monnier@iro.umontreal.ca>
9668 * emulation/cua-base.el (cua-scroll-up, cua-scroll-down): Mark them as
9669 shift-select commands.
9671 2014-02-12 Dmitry Gutov <dgutov@yandex.ru>
9673 * progmodes/js.el (js-indent-line): Don't widen.
9674 http://lists.gnu.org/archive/html/emacs-devel/2012-06/msg00276.html
9676 2014-02-12 Glenn Morris <rgm@gnu.org>
9678 * icomplete.el (icomplete): Add info-link to defgroup.
9679 (icomplete-with-completion-tables, icomplete-minibuffer-setup-hook)
9680 (icomplete-minibuffer-map, icomplete-mode)
9681 (icomplete-simple-completing-p, icomplete-completions): Doc fixes.
9683 * emacs-lisp/package.el (package-menu-mode-map): Tweak menu.
9684 (package-menu-filter): Rename from package-menu-filter-interactive.
9687 2014-02-11 Juanma Barranquero <lekktu@gmail.com>
9689 * frameset.el (frameset--jump-to-register): Select the required
9690 window and buffer before restoring position (bug#16696).
9692 2014-02-11 Lars Ingebrigtsen <larsi@gnus.org>
9694 * dired.el (dired-get-marked-files): Clarify doc (bug#11534).
9696 2014-02-10 Glenn Morris <rgm@gnu.org>
9698 * jit-lock.el (jit-lock-force-redisplay): Doc fix. (Bug#14394)
9700 2014-02-10 Eli Zaretskii <eliz@gnu.org>
9702 * w32-common-fns.el (x-get-selection): Doc fix.
9703 * select.el (x-get-selection): Doc fix. (Bug#15109)
9705 * face-remap.el (face-remap-add-relative)
9706 (face-remap-remove-relative, face-remap-reset-base)
9707 (face-remap-set-base): Call force-mode-line-update to redisplay
9708 the current buffer due to potential change in faces. (Bug#16709)
9710 2014-02-10 Michael Albinus <michael.albinus@gmx.de>
9712 * net/tramp-sh.el (tramp-sh-handle-vc-registered): Apply heredoc
9713 script more robustly.
9715 2014-02-10 Lars Ingebrigtsen <larsi@gnus.org>
9717 * dired.el (dired-get-marked-files): Doc fix (bug#11534).
9719 * simple.el (choose-completion): Doc fix (bug#14160).
9721 * subr.el (event-start): Say what a nil EVENT value means.
9723 * kmacro.el (kmacro-bind-to-key): Say that the parameter is unused
9726 * progmodes/grep.el (find-program): Doc fix (bug#14289).
9728 * files.el (confirm-kill-emacs): Clarify doc (bug#15455).
9730 * emacs-lisp/lisp.el (up-list): Doc fix (bug#15832).
9732 * files.el (confirm-kill-emacs): Allow specifying an arbitrary
9733 predicate function (bug#15455).
9735 2014-02-10 Dmitry Gutov <dgutov@yandex.ru>
9737 * ielm.el (inferior-emacs-lisp-mode): Instead of
9738 `comment-use-global-state', set `comment-use-syntax'.
9740 2014-02-10 Glenn Morris <rgm@gnu.org>
9742 * emacs-lisp/gulp.el (gulp-discard): Add emacs-devel.
9744 2014-02-09 Alan Mackenzie <acm@muc.de>
9746 Fix c-invalidate-state-cache on narrowed buffers.
9747 * progmodes/cc-defs.el (c-with-all-but-one-cpps-commented-out):
9748 Widen when setting and clearing the CPP delimiter properties.
9750 2014-02-09 Lars Ingebrigtsen <larsi@gnus.org>
9752 * help.el (describe-bindings): Doc fix (bug#9888).
9754 * files.el (save-buffer): Use ARG as the parameter name for
9755 consistency (bug#10346).
9756 (save-buffer): Clarify the 0 argument (bug#10346).
9758 * cus-edit.el (customize-apropos): Fix error string.
9759 (custom-buffer-create): Doc fix (bug#11122).
9760 (custom-sort-items): Doc fix (bug#11121).
9762 * repeat.el (repeat-message-function): Reword doc slightly (bug#11619).
9764 * icomplete.el (icomplete-with-completion-tables): Doc fix (bug#11654).
9765 (icomplete-simple-completing-p): Mention the previous variable.
9767 * font-lock.el (font-lock-value-in-major-mode): Clarify the
9768 meaning of the parameter (bug#12282).
9770 * files.el (find-file-noselect): Clarify prompt when changing
9771 readedness (bug#13261).
9772 (locate-file): Suffixes aren't returned, so don't say that they
9774 (backup-inhibited): Doc clarification (bug#12525).
9776 * dired.el (dired-internal-do-deletions): Don't say "Deleting..."
9777 before we actually start to delete things (bug#16331).
9779 * subr.el (event-start): Doc fix (bug#14228).
9782 2014-02-09 Glenn Morris <rgm@gnu.org>
9784 * emacs-lisp/warnings.el (lwarn):
9785 Empower help-enable-auto-load. (Bug#15940)
9787 2014-02-08 Andreas Schwab <schwab@linux-m68k.org>
9789 * vc/log-edit.el (log-edit-comment-to-change-log): Doc fix.
9792 2014-02-08 Michael Albinus <michael.albinus@gmx.de>
9794 * net/tramp-sh.el (tramp-sh-handle-start-file-process):
9795 Insert output at end of buffer. (Bug#16120)
9797 2014-02-08 Lars Ingebrigtsen <larsi@gnus.org>
9799 * simple.el (choose-completion-string-functions): Document new
9800 calling convention (bug#14153).
9801 (execute-extended-command): Clarify doc string (bug#13373).
9803 * kmacro.el (kmacro-exec-ring-item): Doc fix (bug#14198).
9805 * find-dired.el (find-name-dired): Doc fix (bug#14290).
9806 (find-grep-dired): Doc fix (bug#14288).
9808 2014-02-08 Juri Linkov <juri@jurta.org>
9810 * isearch.el (isearch-quote-char): Check character validity
9811 like in `quoted-insert' (bug#16677).
9813 2014-02-08 Lars Ingebrigtsen <larsi@gnus.org>
9815 * files.el (find-file-visit-truename): Doc clarification (bug#14697).
9817 * isearch.el (isearch-hide-immediately): Doc clarification
9820 * simple.el (line-move): Document utility function used many
9821 places in the Emacs sources (bug#14843).
9823 * dired.el (dired-mode-map): Make :help text more accurate (bug#14893).
9824 (dired-prev-marked-file): Doc fix (bug#14855).
9825 (dired-up-directory): Doc fix (bug#14848).
9827 * minibuffer.el (read-file-name): Doc clarification (bug#15096).
9829 * files.el (file-relative-name): Doc fix (bug#15159).
9831 * fringe.el (fringe-styles): Doc fix (bug#15239).
9833 * isearch.el (isearch-filter-predicate): Documentation typo fix
9836 * info-look.el (info-lookup-symbol): Document MODE (bug#15498).
9838 * isearch.el (isearch-cmds): Doc clarification (bug#15547).
9840 * replace.el (replace-match-maybe-edit): Doc clarification
9843 * subr.el (add-to-list): Refill the paragraphs (bug#15791).
9845 * macros.el (insert-kbd-macro): Doc fix (bug#16025).
9847 2014-02-08 Glenn Morris <rgm@gnu.org>
9849 * help-fns.el (describe-variable):
9850 Check {file,dir}-local-variables-alist, and buffer-file-name,
9851 in the correct buffer.
9853 2014-02-08 Ingo Lohmar <i.lohmar@gmail.com>
9855 * help-fns.el (describe-variable): Fix the case where
9856 a value is directory-local with no dir-locals file. (Bug#16635)
9858 2014-02-08 Glenn Morris <rgm@gnu.org>
9860 * abbrev.el (edit-abbrevs-mode):
9861 Derive from fundamental-mode. (Bug#16682)
9863 2014-02-07 Juanma Barranquero <lekktu@gmail.com>
9865 * simple.el (quoted-insert): Check character validity (bug#16677).
9867 2014-02-07 Juri Linkov <juri@jurta.org>
9869 * desktop.el (desktop-read): Claim the lock when the owner is not
9870 the current process. (Bug#16157)
9872 2014-02-07 Juri Linkov <juri@jurta.org>
9874 * desktop.el (desktop-buffers-not-to-save): Change default from nil
9875 to "\\` ". (Bug#16651)
9877 2014-02-07 Juri Linkov <juri@jurta.org>
9879 * desktop.el (desktop-save-mode): Call `desktop-auto-save-set-timer'
9880 when enabling, and `desktop-auto-save-cancel-timer' when disabling.
9881 (desktop-auto-save-cancel-timer): New function with some code from
9882 `desktop-auto-save-set-timer'.
9883 (after-init-hook): Don't call `desktop-auto-save-set-timer'.
9884 Instead of setting `desktop-save-mode' to nil, call
9885 `desktop-save-mode' with arg 0. (Bug#16630)
9887 2014-02-07 Glenn Morris <rgm@gnu.org>
9889 * hi-lock.el (hi-lock-auto-select-face, hi-lock-line-face-buffer)
9890 (hi-lock-face-buffer, hi-lock-face-phrase-buffer)
9891 (hi-lock-face-symbol-at-point, hi-lock-read-face-name): Doc tweaks.
9893 * obsolete/iswitchb.el: Move to obsolete/.
9894 * simple.el (iswitchb-mode): Add manual autoloads to ease transition,
9895 since obsolete/ is not scanned for autoloads.
9896 * emacs-lisp/authors.el (authors-valid-file-names):
9899 * obsolete/meese.el: Restore as obsolete (deleted 2014-01-11).
9900 Disable now non-functional find-file-hook.
9902 2014-02-06 Michael Albinus <michael.albinus@gmx.de>
9904 * net/tramp-sh.el (tramp-sh-handle-start-file-process): Use "&&"
9905 instead of ";" in order to avoid additional prompts. Let heredoc
9906 scripts read from tty. (Bug#16582)
9907 (tramp-send-command): No special handling of heredocs, it isn't
9910 2014-02-06 Stefan Monnier <monnier@iro.umontreal.ca>
9912 * emacs-lisp/lisp.el (lisp-completion-at-point): Symbols don't start
9913 with a space (bug#16664). Limit the symbols considered to the ones
9914 that are bound or fbound (bug#16646).
9916 2014-02-06 Glenn Morris <rgm@gnu.org>
9918 * epa.el (epa-mail-aliases): Doc fix.
9920 2014-02-06 Dmitry Gutov <dgutov@yandex.ru>
9922 * emacs-lisp/lisp.el (lisp-completion-at-point):
9923 Use `completion-table-merge' instead of `completion-table-in-turn'
9926 * minibuffer.el (completion-table-merge): New function.
9928 2014-02-05 Michael Albinus <michael.albinus@gmx.de>
9930 * net/tramp-sh.el (tramp-end-of-heredoc): New defconst.
9931 (tramp-sh-handle-set-file-acl)
9932 (tramp-sh-handle-start-file-process)
9933 (tramp-sh-handle-write-region, tramp-sh-handle-vc-registered)
9934 (tramp-find-executable, tramp-send-command): Use it.
9936 2014-02-05 Glenn Morris <rgm@gnu.org>
9938 * epa.el (epa-mail-aliases): Fix custom type. Doc tweak.
9940 2014-02-04 Fabián Ezequiel Gallina <fgallina@gnu.org>
9942 * progmodes/python.el (python-shell-send-string)
9943 (python-shell-send-string-no-output): Fix docstring (Bug#16547).
9945 2014-02-04 Anders Lindgren <andlind@gmail.com>
9947 * emacs-lisp/ert.el (ert--activate-font-lock-keywords): Allow dashes in
9948 the names (bug#16620).
9950 2014-02-03 Martin Rudalics <rudalics@gmx.at>
9952 * faces.el (window-divider): New default value. Rewrite doc-string.
9953 (window-divider-first-pixel, window-divider-last-pixel): New faces.
9955 2014-02-03 Dmitry Gutov <dgutov@yandex.ru>
9957 * progmodes/ruby-mode.el (ruby-font-lock-keywords): `private',
9958 `protected' and `public' can also be called without arguments.
9960 2014-02-03 Glenn Morris <rgm@gnu.org>
9962 * register.el (window-configuration-to-register)
9963 (frame-configuration-to-register): Unadvertise unused argument.
9964 * frameset.el (frameset-to-register): Remove unused argument.
9966 * frameset.el (frameset-to-register):
9967 * kmacro.el (kmacro-to-register):
9968 * register.el (increment-register):
9969 * calc/calc-yank.el (calc-copy-to-register, calc-insert-register)
9970 (calc-append-to-register, calc-prepend-to-register):
9971 * play/gametree.el (gametree-layout-to-register)
9972 (gametree-apply-register-layout):
9973 * textmodes/picture.el (picture-clear-rectangle-to-register)
9974 (picture-yank-rectangle-from-register):
9975 * vc/emerge.el (emerge-combine-versions-register):
9976 Use register-read-with-preview to read registers.
9978 2014-02-03 João Távora <joaotavora@gmail.com>
9980 * elec-pair.el (electric-pair-backward-delete-char): Don't error
9981 when at beginning of (possibly narrowed) buffer.
9983 2014-02-02 Daniel Colascione <dancol@dancol.org>
9985 * help-at-pt.el (help-at-pt-string, help-at-pt-maybe-display):
9986 Also try to display local help from just before point.
9988 2014-02-02 Alan Mackenzie <acm@muc.de>
9990 c-parse-state. Don't "append-lower-brace-pair" in certain
9991 circumstances. Also fix an obscure bug where "\\s!" shouldn't be
9992 recognised as a comment.
9994 * progmodes/cc-engine.el (c-state-pp-to-literal): Check for "\\s!"
9995 as well as normal comment starter.
9996 (c-parse-state-get-strategy): Extra return possibility
9998 (c-remove-stale-state-cache): Extra element CONS-SEPARATED in
9999 return value list to indicate replacement of a brace-pair cons
10001 (c-parse-state-1): With 'back-and-forward, only call
10002 c-append-lower-brace-pair-to state-cache when cons-separated.
10004 2014-02-02 Jan Djärv <jan.h.d@swipnet.se>
10006 * term/ns-win.el (ns-suspend-error): New function.
10007 (ns-initialize-window-system): Add ns-suspend-error to
10008 suspend-hook (Bug#16612).
10010 2014-02-02 Daniel Colascione <dancol@dancol.org>
10012 * progmodes/cc-defs.el (c-find-assignment-for-mode):
10013 Make loading cc-mode silent.
10015 2014-02-02 Daniel Colascione <dancol@dancol.org>
10017 * comint.el (comint-prompt-read-only): Change doc to suggest
10020 2014-02-02 Glenn Morris <rgm@gnu.org>
10022 * register.el (register-read-with-preview, point-to-register)
10023 (window-configuration-to-register, frame-configuration-to-register)
10024 (jump-to-register, number-to-register, view-register, insert-register)
10025 (copy-to-register, append-to-register, prepend-to-register)
10026 (copy-rectangle-to-register): Doc fixes.
10028 2014-02-02 Stefan Monnier <monnier@iro.umontreal.ca>
10030 * help-fns.el (help-C-file-name): Handle advised functions (bug#16478).
10031 * emacs-lisp/find-func.el (find-function-C-source): Idem.
10032 * emacs-lisp/nadvice.el (advice--cd*r): New function.
10033 * help-fns.el (describe-function-1): Use it.
10035 2014-02-02 Glenn Morris <rgm@gnu.org>
10037 * register.el (register-preview-default): New function,
10038 split from register-preview.
10039 (register-preview-function): Rename from register-preview-functions,
10040 make it not a hook.
10041 (register-preview): Use register-preview-function.
10042 (register-read-with-preview): Error on non-character event. (Bug#16595)
10044 2014-02-01 Dmitry Gutov <dgutov@yandex.ru>
10046 * progmodes/ruby-mode.el (ruby-smie--implicit-semi-p): Check for
10047 `:' before binary operators (bug#16609). Don't check for `:'
10048 before `[' and `(', or their syntax status. A percent literal
10049 can't end with either.
10050 (ruby-font-lock-keywords): For built-ins that require arguments,
10051 check that they're followed by something that looks like argument
10054 2014-02-01 Lars Ingebrigtsen <larsi@gnus.org>
10056 * subr.el (butlast): Document what an omitted N means (bug#13437).
10059 2014-01-31 Lars Ingebrigtsen <larsi@gnus.org>
10061 * net/shr.el (shr-generic): Make into a defsubst to make the stack
10062 depth shallower (bug#16587).
10063 (shr-tag-svg): Respect `shr-inhibit-images'.
10064 (shr-dom-to-xml): Respect `shr-blocked-images' (bug#15882).
10066 2014-01-31 Dmitry Gutov <dgutov@yandex.ru>
10068 * progmodes/ruby-mode.el (ruby-align-chained-calls): New option.
10069 (ruby-smie-grammar): Make "." right-associative. Make its priority
10070 lower than the ternary and all binary operators.
10071 (ruby-smie-rules): Indent "(" relative to the first non-"."
10072 parent, or the first "." parent at indentation.
10073 Use `ruby-align-chained-calls' for indentation of "." tokens.
10076 2014-01-31 Juri Linkov <juri@jurta.org>
10078 * sort.el (delete-duplicate-lines): Remove `:weakness 'key'
10079 from `make-hash-table'.
10081 * textmodes/ispell.el (ispell-init-process): Change message format
10082 to be consistent with other messages.
10084 2014-01-31 Glenn Morris <rgm@gnu.org>
10086 * delsel.el (delete-selection-mode): Doc fix.
10088 * emacs-lisp/trace.el (trace--read-args, trace-function-foreground)
10089 (trace-function-background): Doc fixes.
10091 * ido.el (ido-use-virtual-buffers): Doc fix.
10092 Reset :version, since the default value has not changed.
10094 * register.el (register-preview-delay, register-read-with-preview):
10097 * mail/reporter.el (reporter-dump-variable): In case of void-variable,
10098 do not mess with mail-buffer position (fixes 2009-11-03 change).
10099 * progmodes/cc-mode.el (c-submit-bug-report):
10100 Check auto-fill-mode is bound. (Bug#16592)
10102 2014-01-31 Darren Hoo <darren.hoo@gmail.com>
10104 * startup.el (fancy-splash-image-file): New function,
10105 split from fancy-splash-head.
10106 (fancy-splash-head, use-fancy-splash-screens-p): Use it,
10107 so that we are both using the same image. (Bug#16574)
10109 2014-01-30 Glenn Morris <rgm@gnu.org>
10111 * simple.el (eval-expression): Doc fix.
10113 * hexl.el (hexl-mode-hook):
10114 * ielm.el (ielm-mode-hook):
10115 * emacs-lisp/lisp-mode.el (emacs-lisp-mode-hook)
10116 (lisp-interaction-mode-hook):
10117 * progmodes/cfengine.el (cfengine3-documentation-function):
10118 Replace obsolete alias `turn-on-eldoc-mode' with `eldoc-mode'.
10120 2014-01-30 Stefan Monnier <monnier@iro.umontreal.ca>
10122 * emacs-lisp/eieio-opt.el (eieio-help-generic): Don't assume `generic'
10123 is a symbol (bug#16584).
10125 2014-01-30 Glenn Morris <rgm@gnu.org>
10127 * help.el (help-for-help-internal): Add "P" to text.
10129 2014-01-29 Glenn Morris <rgm@gnu.org>
10131 * simple.el (just-one-space, cycle-spacing): Doc fixes.
10133 2014-01-28 Martin Rudalics <rudalics@gmx.at>
10135 * window.el (fit-frame-to-buffer): Fix calculations for margins and
10136 height constraints.
10138 2014-01-28 Luke Lee <luke.yx.lee@gmail.com>
10140 * progmodes/hideif.el: Extend to full CPP expression syntax.
10141 (hif-token-alist): Add missing tokens.
10142 (hif-token-regexp): Add support for float/octal/hex immediates.
10143 (hif-string-literal-regexp): New const.
10144 (hif-tokenize): Recognize strings and float/octal/hex immediates.
10145 (hif-exprlist): New function.
10146 (hif-parse-if-exp): Use it.
10147 (hif-logior-expr, hif-logxor-expr, hif-logand-expr, hif-comp-expr)
10148 (hif-logshift-expr, hif-muldiv-expr, hif-lognot, hif-shiftleft)
10149 (hif-shiftright, hif-multiply, hif-divide, hif-modulo, hif-equal)
10150 (hif-logxor, hif-comma): New functions.
10152 2014-01-28 Glenn Morris <rgm@gnu.org>
10154 * textmodes/fill.el (fill-single-char-nobreak-p): Doc tweak.
10156 * indent.el (tab-stop-list): Doc fix. Add :version.
10158 * vc/pcvs.el (vc-editable-p, vc-checkout): Remove unused declarations.
10159 (cvs-append-to-ignore): Add compatibility alias.
10161 2014-01-27 Glenn Morris <rgm@gnu.org>
10163 * dired.el (dired-hide-details-mode): Don't autoload it,
10164 since it cannot be used outside Dired buffers anyway.
10166 * emulation/cua-base.el (cua-mode): Doc fix.
10168 * dired.el (dired-hide-details-hide-symlink-targets)
10169 (dired-hide-details-hide-information-lines)
10170 (dired-hide-details-mode): Doc fixes.
10172 * shadowfile.el (shadow-info-file, shadow-todo-file): Doc fix.
10173 * strokes.el (strokes-file): Doc fix. Bump :version.
10174 (strokes-help): Doc fix.
10175 * emulation/viper-init.el (viper-vi-style-in-minibuffer): Doc fix.
10176 * emulation/viper.el (viper): Doc fix for custom group.
10177 (top-level): Remove oh-so-no-longer-relevant text about vip.
10178 * obsolete/otodo-mode.el (todo-prefix): Doc fix.
10180 * ido.el (ido-save-directory-list-file):
10181 * saveplace.el (save-place-file):
10182 * calendar/timeclock.el (timeclock-file):
10183 * net/quickurl.el (quickurl-url-file):
10184 * obsolete/otodo-mode.el (todo-file-do, todo-file-done, todo-file-top):
10185 * progmodes/idlwave.el (idlwave-config-directory):
10186 * textmodes/remember.el (remember-data-file):
10189 2014-01-26 Glenn Morris <rgm@gnu.org>
10191 * progmodes/opascal.el (opascal-tab-always-indents, opascal-tab):
10192 Doc fix. Make obsolete.
10193 (opascal-mode): No longer mention opascal-tab-always-indents in doc.
10195 * sort.el (delete-duplicate-lines): Doc fix.
10197 2014-01-25 Rüdiger Sonderfeld <ruediger@c-plusplus.de>
10199 * progmodes/ada-mode.el (ada):
10200 * woman.el (woman): Link to info manual and Commentary section.
10202 * progmodes/flymake.el (flymake):
10203 * nxml/nxml-mode.el (nxml):
10204 * net/eww.el (eww):
10205 * speedbar.el (speedbar, speedbar-faces, speedbar-vc):
10206 * htmlfontify.el (htmlfontify):
10209 * ido.el (ido): Link to info manual.
10211 2014-01-25 Leo Liu <sdl.web@gmail.com>
10213 * progmodes/flymake.el (flymake-make-overlay): No rear advance.
10215 2014-01-25 Adam Sjøgren <asjo@koldfront.dk>
10217 * net/shr.el (shr-tag-img): Prefer the title over the alt text
10220 2014-01-24 Juanma Barranquero <lekktu@gmail.com>
10222 * net/eww.el (eww-download-callback):
10223 Fix reference to eww-download-directory.
10225 * emacs-lisp/bytecomp.el (byte-compile-file):
10226 Remove unused local variable `file-name'.
10228 2014-01-24 Glenn Morris <rgm@gnu.org>
10230 * woman.el (woman-default-faces, woman-monochrome-faces):
10231 Fix obsolescence specification.
10233 * subr.el (with-demoted-errors): Doc fix.
10235 2014-01-23 Stefan Monnier <monnier@iro.umontreal.ca>
10237 * emacs-lisp/cl-macs.el: Improve type->predicate mapping (bug#16520).
10238 (cl--macroexp-fboundp): New function.
10239 (cl--make-type-test): Use it.
10241 2014-01-23 Glenn Morris <rgm@gnu.org>
10243 * emacs-lisp/lisp-mode.el (eval-print-last-sexp, eval-last-sexp):
10244 * simple.el (eval-expression): Doc fixes.
10246 2014-01-22 Glenn Morris <rgm@gnu.org>
10248 * emacs-lisp/authors.el (authors-fixed-entries): Addition.
10250 2014-01-22 Stefan Monnier <monnier@iro.umontreal.ca>
10252 * emacs-lisp/package.el: Write files silently.
10253 (package-autoload-ensure-default-file, package--write-file-no-coding)
10254 (package-generate-description-file, package--download-one-archive)
10255 (package-install-from-archive): Tell `write-region' to stay quiet.
10256 (package-menu-mode, package-menu--print-info): Omit the Archive column
10257 if there's only one archive.
10258 (package-all-keywords, package--has-keyword-p): Remove dead code.
10260 2014-01-22 Glenn Morris <rgm@gnu.org>
10262 * version.el (emacs-bzr-version-bzr): Fix typo.
10264 * version.el (emacs-repository-get-version):
10265 Check either .bzr or .git, but not both.
10266 Make the git case actually use the DIR argument, and return nil
10267 rather than the empty string.
10268 Avoid error if .git exists but the git executable is not found.
10270 2014-01-22 Martin Rudalics <rudalics@gmx.at>
10272 Fixes in window size functions around Bug#16430 and Bug#16470.
10273 * window.el (window-total-size, window-size): New argument ROUND.
10274 (window--min-delta-1, window-min-delta, window--max-delta-1):
10275 Be more conservative when calculating the numbers of lines or
10276 columns a window can shrink (Bug#16430).
10277 (fit-window-to-buffer): Simplify code.
10278 * term.el (term-window-width): Call window-body-width again.
10280 2014-01-22 Glenn Morris <rgm@gnu.org>
10282 * image.el (image-format-suffixes): Doc fix.
10284 * international/quail.el (quail-define-package): Doc fix.
10286 * emacs-lisp/authors.el (authors-valid-file-names)
10287 (authors-renamed-files-alist): Additions.
10289 * vc/vc-git.el (vc-git-print-log): Remove --follow;
10290 reverts 2014-01-09 change. (Bug#16422)
10292 * calc/calc-embed.el (thing-at-point-looking-at):
10293 * emacs-lisp/map-ynp.el (x-popup-dialog):
10294 * obsolete/lmenu.el (x-popup-dialog):
10295 * emacs-lisp/package.el (url-recreate-url):
10296 * mail/mailclient.el (clipboard-kill-ring-save):
10297 * subr.el (x-popup-dialog): Update declaration.
10298 * mail/rmail.el (rmail-mime-message-p):
10299 * window.el (tool-bar-lines-needed): Remove unnecessary declaration.
10301 2014-01-21 Daniel Colascione <dancol@dancol.org>
10303 * progmodes/sh-script.el (sh--inside-noncommand-expression):
10304 Correctly detect when we're inside an arithmetic expansion form
10305 containing nested parenthesis.
10306 (sh--maybe-here-document): Use `sh--inside-noncommand-expression'
10307 to detect cases where we shouldn't expand "<<" to a heredoc
10310 2014-01-21 Stefan Monnier <monnier@iro.umontreal.ca>
10312 * emacs-lisp/eldoc.el: Properly remove message in minibuffer case.
10313 (eldoc--message-command-p): New function.
10314 (eldoc-display-message-p): Use it.
10315 (eldoc-pre-command-refresh-echo-area): In the minibuffer case, the
10316 message is not automatically erased for us.
10317 (eldoc-print-current-symbol-info): Erase previous message, if any.
10319 2014-01-21 Tassilo Horn <tsdh@gnu.org>
10321 * textmodes/reftex.el (reftex-create-bibtex-file): Fix autoload to
10322 specify it's an interactive function.
10324 * textmodes/reftex-cite.el (reftex-all-used-citation-keys):
10325 Fix regex used for scanning for citation keys which failed for
10326 citations with optional arguments.
10328 2014-01-21 Leo Liu <sdl.web@gmail.com>
10330 * simple.el (read--expression): Don't enable eldoc-mode.
10332 2014-01-20 Stefan Monnier <monnier@iro.umontreal.ca>
10334 * simple.el (move-beginning-of-line): Make sure we don't move forward
10337 2014-01-20 Juri Linkov <juri@jurta.org>
10339 * saveplace.el (toggle-save-place, save-place-to-alist)
10340 (save-places-to-alist, save-place-dired-hook): Add (derived-mode-p
10341 'dired-mode) before checking for dired-directory. (Bug#16477)
10343 2014-01-20 Juri Linkov <juri@jurta.org>
10345 * indent.el (indent-line-to): Use backward-to-indentation
10346 instead of back-to-indentation. (Bug#16461)
10348 2014-01-20 Paul Eggert <eggert@cs.ucla.edu>
10350 Revert some of the CANNOT_DUMP fix (Bug#16494).
10351 Because of this, "make bootstrap" won't work if CANNOT_DUMP=yes,
10352 but fixing this can wait until after the next release.
10353 * Makefile.in (emacs): Keep EMACSLOADPATH empty.
10355 2014-01-19 Michael Albinus <michael.albinus@gmx.de>
10357 * eshell/esh-mode.el (eshell-password-prompt-regexp):
10358 Use `password-word-equivalents'.
10359 (eshell-watch-for-password-prompt): Let-bind `case-fold-search'
10360 to t. (Bug#5664, Bug#13124)
10362 2014-01-19 Alan Mackenzie <acm@muc.de>
10364 Bind open-paren-in-column-0-is-defun-start to nil at some entry
10366 * progmodes/cc-engine.el (c-invalidate-state-cache-1)
10367 (c-parse-state-1, c-guess-basic-syntax): Bind it here.
10368 * progmodes/cc-mode.el (c-before-change, c-after-change)
10369 (c-font-lock-fontify-region): Bind it here.
10371 2014-01-19 Martin Rudalics <rudalics@gmx.at>
10373 * term.el (term-window-width): Call window-text-width instead of
10374 window-width (Bug#16470).
10376 2014-01-18 Paul Eggert <eggert@cs.ucla.edu>
10378 * simple.el (password-word-equivalents): Remove duplicates.
10379 Sort, to make this easier next time.
10380 Downcase. Omit ": " after "jelszó".
10382 2014-01-18 Jan Djärv <jan.h.d@swipnet.se>
10384 * term/common-win.el (saved-region-selection): Defvar it.
10385 (x-select-text): Set saved-region-selection (Bug#16382).
10387 2014-01-18 Glenn Morris <rgm@gnu.org>
10389 * emacs-lisp/authors.el (authors-aliases)
10390 (authors-renamed-files-alist): Add some entries.
10392 2014-01-17 Michael Albinus <michael.albinus@gmx.de>
10394 * net/tramp.el (tramp-password-prompt-regexp):
10395 Use `password-word-equivalents' if available.
10396 (tramp-action-password, tramp-process-one-action)
10397 (tramp-read-passwd): Let-bind `case-fold-search' to t. (Bug#13124)
10399 2014-01-17 Chong Yidong <cyd@gnu.org>
10401 * simple.el (password-word-equivalents): New defcustom.
10402 * comint.el (comint-password-prompt-regexp): Use it. Bump version
10404 (comint-watch-for-password-prompt): Let-bind `case-fold-search'
10407 2014-01-17 Dmitry Gutov <dgutov@yandex.ru>
10409 * progmodes/ruby-mode.el (ruby-alignable-keywords): New constant.
10410 (ruby-align-to-stmt-keywords): Change the default value.
10411 Use `ruby-alignable-keywords' to generate the possible customization
10413 (ruby-smie-rules): Instead of using a hardcoded list of alignable
10414 keywords, check against the value of `ruby-alignable-keywords'
10415 (http://lists.gnu.org/archive/html/emacs-devel/2014-01/msg01439.html).
10417 2014-01-17 Glenn Morris <rgm@gnu.org>
10419 * emacs-lisp/authors.el (authors-aliases): Remove unnecessary entries.
10421 Make M-x authors return zero *Authors Errors* from current logs.
10422 * emacs-lisp/authors.el (authors-obsolete-files-regexps)
10423 (authors-ignored-files): Add some entries, remove others.
10424 (authors-ambiguous-files, authors-valid-file-names):
10426 (authors-renamed-files-alist): Add, remove, and adjust entries.
10427 (authors-renamed-files-regexps): Add some entries.
10428 Remove some very broad ones. Make some entries `lax'.
10429 (authors-lax-changelogs): New constant.
10430 (authors-disambiguate-file-name): Treat top-level specially.
10431 (authors-lax-changelog-p): New function.
10432 (authors-canonical-file-name): Check file as written against
10433 authors-valid-file-names. Do not special-case etc/.
10434 Handle `lax' logs and authors-renamed-files-regexps elements.
10436 2014-01-16 Dmitry Gutov <dgutov@yandex.ru>
10438 * emacs-lisp/package.el (package-desc--keywords): Use `cdr' with
10439 `assoc'. Use `nth' instead of `cdr'. Make private. Update all
10442 2014-01-16 Stefan Monnier <monnier@iro.umontreal.ca>
10444 * follow.el (follow-adjust-window): Remove `dest' argument (bug#16426).
10445 Assume we're already in the proper buffer.
10446 Inspired by Anders Lindgren <andlind@gmail.com>.
10447 (follow-post-command-hook): Call it from the right buffer.
10448 (follow-comint-scroll-to-bottom): Adjust call.
10449 (follow-all-followers): Use get-buffer-window-list.
10451 2014-01-15 Daniel Colascione <dancol@dancol.org>
10453 * emacs-lisp/bytecomp.el (byte-compile-file): Use whole
10454 `buffer-file-name' in interactive-form so that we don't leave
10455 pathless file names in `file-name-history'.
10457 2014-01-15 Juri Linkov <juri@jurta.org>
10459 * indent.el (indent-rigidly): Set deactivate-mark to nil
10460 in transient indentation mode. (Bug#16438)
10462 2014-01-15 Dmitry Gutov <dgutov@yandex.ru>
10464 * emacs-lisp/package.el (package-desc-keywords): New function
10466 (describe-package-1, package-all-keywords)
10467 (package--has-keyword-p): Use it.
10469 2014-01-14 Nicolas Richard <theonewiththeevillook@yahoo.fr>
10471 * simple.el (define-alternatives): When creating the
10472 COMMAND-alternatives variable, assign COMMAND as its definition
10473 name so that `describe-variable' can relocate it.
10475 2014-01-14 Matthew Leach <matthew@mattleach.net>
10477 * font-lock.el (font-lock-keywords): Fix typo in docstring
10480 2014-01-14 Agustín Martín Domingo <agustin.martin@hispalinux.es>
10482 * textmodes/ispell.el (ispell-region): Reset `in-comment' for new
10483 line instead of wrongly reset `add-coment' (bug#13577).
10485 2014-01-14 Daiki Ueno <ueno@gnu.org>
10487 * epa-file.el (epa-file-write-region): Encode the region according
10488 to `buffer-file-format'. Problem reported at:
10489 <http://sourceforge.jp/ticket/browse.php?group_id=2267&tid=32917>.
10491 2014-01-14 Stefan Monnier <monnier@iro.umontreal.ca>
10493 * emacs-lisp/edebug.el (edebug--display): Move protective let-binding
10494 so it applies in the right buffer (bug#16410).
10496 2014-01-13 Daniel Colascione <dancol@dancol.org>
10498 * textmodes/rst.el (rst-define-key): Provide deprecated
10499 keybindings through named functions instead of anonymous ones so
10500 that "??" doesn't appear in describe-mode output.
10502 2014-01-13 Bastien Guerry <bzg@gnu.org>
10504 * simple.el (define-alternatives): Call the selected command
10505 interactively. When setting `COMMAND--implementation' for the
10506 first time, tell the user how to chose another implementation.
10507 Enhance the docstring.
10509 2014-01-13 Stefan Monnier <monnier@iro.umontreal.ca>
10511 * vc/log-edit.el: Fix highlighting of summary when it's the first line.
10512 (log-edit--match-first-line): New function.
10513 (log-edit-font-lock-keywords): Use it.
10514 (log-edit-mode): Make jit-lock-defer-multiline work.
10516 2014-01-13 Bastien Guerry <bzg@gnu.org>
10518 * rect.el (rectangle-mark-mode): When the region is not active,
10519 display a message saying that the mark as been set and that
10520 rectangle mode is in use.
10521 (rectangle--highlight-for-redisplay): Only put an overlay with a
10522 visible vertical bar when (display-graphic-p) is non-nil.
10523 This partially fixes Bug#16403.
10525 2014-01-13 Juri Linkov <juri@jurta.org>
10527 * info.el (Info-find-file): Go to DIR before displaying the error
10528 about a nonexistent file if no previous Info file is visited.
10529 Use `user-error' instead of `error' for "Info file %s does not exist".
10530 (Info-find-node-2): In case of a nonexistent node in unwind forms
10531 go to the Top node if there is no previous node to revert to.
10534 2014-01-13 Martin Rudalics <rudalics@gmx.at>
10536 fit-frame/window-to-buffer code fixes including one for Bug#14096.
10537 * window.el (fit-frame-to-buffer): Fix doc-string.
10538 Respect window-min-height/-width. Fit pixelwise when
10539 frame-resize-pixelwise is non-nil. Adjust right/bottom edge
10540 when avoiding that frame goes partially off-screen.
10541 (fit-window-to-buffer): Respect window-min-height/-width
10544 2014-01-13 Stefan Monnier <monnier@iro.umontreal.ca>
10546 * indent.el (indent-according-to-mode): Flush to column 0 in text-mode
10547 after an empty line.
10549 2014-01-12 Stefan Monnier <monnier@iro.umontreal.ca>
10551 * net/shr.el (shr-render-region): Autoload.
10553 2014-01-12 Xue Fuqiao <xfq.free@gmail.com>
10555 * net/eww.el (eww-download-directory): Rename from
10556 `eww-download-path' (Bug#16419).
10558 2014-01-12 Leo Liu <sdl.web@gmail.com>
10560 * dired-x.el (dired-mode-map): Fix last change.
10562 * emacs-lisp/eldoc.el (eldoc-mode): Add hook locally.
10564 2014-01-12 Paul Eggert <eggert@cs.ucla.edu>
10567 * emacs-lisp/generic.el (generic--normalize-comments):
10568 Rename from generic--normalise-comments. All uses changed.
10569 * play/bubbles.el (bubbles--neighborhood-score)
10570 (bubbles--mark-direct-neighbors, bubbles--mark-neighborhood)
10571 (bubbles--neighborhood-available)
10572 (bubbles--update-neighborhood-score):
10573 Rename from names with 'neighbourhood'. All uses changed.
10575 2014-01-12 Leo Liu <sdl.web@gmail.com>
10577 Re-implement the feature of showing eldoc info after editing.
10578 * emacs-lisp/eldoc.el (eldoc-post-insert-mode): Remove.
10579 (eldoc-edit-message-commands): New function.
10580 (eldoc-print-after-edit): New variable.
10581 (eldoc-pre-command-refresh-echo-area): Emit message only by
10582 eldoc-message-commands.
10583 (eldoc-mode): Restrict eldoc-message-commands to editing commands
10584 if eldoc-print-after-edit is set. (Bug#16346)
10585 * simple.el (read--expression): Enable eldoc-mode.
10586 * progmodes/octave.el (octave-mode-menu): Adapt to change in eldoc.
10588 2014-01-11 Dani Moncayo <dmoncayo@gmail.com>
10589 Eric S. Raymond <esr@thyrsus.com>
10591 * version.el (emacs-repository-get-version): Enhance so the
10592 function works correctly in either a Bazaar or Git repo.
10594 2014-01-11 Eric S. Raymond <esr@thyrsus.com>
10596 * play/meese.el: It's 2014 and Ed Meese is justly forgotten.
10597 Goes with removal of the joke manpages from /etc.
10599 2014-01-10 Kenichi Handa <handa@gnu.org>
10601 * mail/rmail.el (rmail-get-coding-system):
10602 Check rmail-get-coding-function before "funcall"ing it.
10604 2014-01-10 Glenn Morris <rgm@gnu.org>
10606 * emacs-lisp/authors.el (authors-fixed-entries):
10607 Update for files that no longer exist.
10609 2014-01-10 Eric S. Raymond <esr@thyrsus.com>
10611 * version.el (emacs-bzr-get-version): Restore compatibilty with
10614 2014-01-10 Bozhidar Batsov <bozhidar@batsov.com>
10616 * progmodes/ruby-mode.el (auto-mode-alist): Add .podspec
10619 2014-01-10 Eli Zaretskii <eliz@gnu.org>
10621 * emacs-lisp/authors.el (authors-fixed-entries): Update my entry.
10623 2014-01-10 Chong Yidong <cyd@gnu.org>
10625 * progmodes/octave.el (octave-mode-menu): Don't assume eldoc is loaded.
10627 2014-01-10 Anders Lindgren <andlind@gmail.com>
10629 * follow.el (follow-cache-command-list): Include right-char and
10632 2014-01-10 Paul Eggert <eggert@cs.ucla.edu>
10635 * mail/unrmail.el (unrmail-mbox-format): Choice is mboxo, not mboxro.
10636 * woman.el (woman-mark-horizontal-position):
10637 Rename from woman-mark-horizonal-position. Use changed.
10639 2014-01-10 Glenn Morris <rgm@gnu.org>
10641 * info.el (info-initialize): If running uninstalled, ensure our
10642 own info files are always found first, even if INFOPATH is set.
10644 * help.el (view-order-manuals): Open emacs.info rather than ORDERS.
10646 2014-01-09 David Engster <deng@randomsample.de>
10648 * emacs-lisp/eieio-custom.el:
10649 * emacs-lisp/eieio-opt.el: Set generated autoload file to
10650 'eieio.el'. This was accidentally removed in 2012-10-01T18:10:29Z!cyd@gnu.org.
10651 * emacs-lisp/eieio.el: Regenerate autoloads.
10653 2014-01-09 Eric S. Raymond <esr@thyrsus.com>
10655 * vc/vc-git.el (vc-git-print-log): Add --follow option to command,
10656 following renames. (Bug#8756)
10658 2014-01-09 Stefan Monnier <monnier@iro.umontreal.ca>
10660 * simple.el (deactivate-mark, activate-mark): Force-mode-line-update
10662 (activate-mark): Add `no-tmm' argument.
10663 (set-mark, push-mark-command): Use it instead of running
10664 activate-mark-hook by hand.
10666 2014-01-08 Eric S. Raymond <esr@thyrsus.com>
10668 In preparation for the move to git, sanitize out some
10669 Bazaar-specific names.
10671 * emacs-lisp/authors.el: INSTALL.BZR renamed to INSTALL.REPO.
10673 * version.el (emacs-bzr-version): Name changed to
10674 emacs-repository-version. Obsolete-variable alias made.
10675 * loadup.el: Follow through on this name change.
10676 * mail/emacsbug.el (report-emacs-bug): Factor out any
10677 assumption about the version control system in use.
10679 2014-01-08 David Engster <deng@randomsample.de>
10681 * help-fns.el (help-fns-describe-function-functions):
10682 New variable to call functions for augmenting help buffers.
10683 (describe-function-1): Remove explicit calls to
10684 `help-fns--compiler-macro', `help-fns--parent-mode' and
10685 `help-fns--obsolete'. Put them in above new variable instead, and
10686 call them through `run-hook-with-args'.
10687 * emacs-lisp/eieio-opt.el (eieio-help-class): Rename from
10688 `eieio-describe-class'. Not meant for interactive use anymore,
10689 but to augment existing help buffers. Remove optional second
10690 argument. Create proper button for file location.
10691 Rewrite function to use `insert' instead of `princ' and `prin1' where
10693 (eieio-help-class-slots): Rename from `eieio-describe-class-slots'.
10694 (eieio-method-def, eieio-class-def): Move further up.
10695 (describe-method, describe-generic, eieio-describe-method):
10697 (eieio-help-constructor, eieio-help-generic): Rename from
10698 `eieio-describe-constructor' and `eieio-describe-generic', resp.
10699 Rewrite to use `insert' in the current buffer and use proper help
10701 (eieio-help-find-method-definition)
10702 (eieio-help-find-class-definition): Also accept symbols as
10704 (eieio-help-mode-augmentation-maybee): Remove.
10705 (eieio-describe-class-sb): Use `describe-function'.
10706 * emacs-lisp/eieio.el (help-fns-describe-function-functions):
10707 Add `eieio-help-generic' and `eieio-help-constructor'.
10709 2014-01-08 Paul Eggert <eggert@cs.ucla.edu>
10712 * language/china-util.el (hz-ascii-designation):
10713 Rename from hz-ascii-designnation.
10714 (hz-ascii-designation): Rename from hz-ascii-designnation.
10717 2014-01-08 Stefan Monnier <monnier@iro.umontreal.ca>
10719 * emacs-lisp/package.el (package-delete): Only remove pkg-desc from
10722 2014-01-08 Bastien Guerry <bzg@gnu.org>
10724 * emacs-lisp/package.el (package-delete):
10725 Correctly delete the package from package-alist.
10727 2014-01-08 Daiki Ueno <ueno@gnu.org>
10729 * emacs-lisp/package.el (url-recreate-url): Declare.
10730 (url-http-target-url): Declare.
10731 (package-handle-response): Include requested URL in the error message.
10732 (package--check-signature): Don't re-signal errors from
10733 package--with-work-buffer. Suggested by Stefan Monnier.
10735 2014-01-07 Bastien Guerry <bzg@gnu.org>
10737 * minibuffer.el (completion--try-word-completion): When both a
10738 hyphen and a space are possible candidates for the character
10739 following a word, display both candidates. (Bug#15980)
10741 2014-01-07 Martin Rudalics <rudalics@gmx.at>
10743 * window.el (balance-windows-2): While rounding don't give a
10744 window more than the remainder. Bug#16351, bug#16383.
10746 2014-01-07 Glenn Morris <rgm@gnu.org>
10748 * menu-bar.el (menu-bar-help-extra-packages): Remove.
10749 (menu-bar-help-menu): Use view-external-packages instead.
10751 2014-01-07 Bastien Guerry <bzg@gnu.org>
10753 * emacs-lisp/package.el (package-delete): Also delete the package
10754 name from `package-alist', not its description only.
10756 2014-01-07 Glenn Morris <rgm@gnu.org>
10758 * help.el (view-external-packages):
10759 * menu-bar.el (menu-bar-help-extra-packages):
10760 Visit efaq.info rather than etc/MORE.STUFF.
10762 2014-01-07 Juri Linkov <juri@jurta.org>
10764 * isearch.el (isearch-mode-map): Bind [return] and [backspace] to
10765 isearch-exit and isearch-delete-char resp. (Bug#16342, bug#16035)
10767 * progmodes/ps-mode.el (ps-mode-map): Remove [return] key binding
10768 that shadows RET. (Bug#16342)
10770 2014-01-07 Chong Yidong <cyd@gnu.org>
10772 * isearch.el (isearch-yank-char, isearch-yank-word)
10773 (isearch-yank-line): Doc fix.
10775 2014-01-06 Stefan Monnier <monnier@iro.umontreal.ca>
10777 * abbrev.el (define-abbrev): Beware new meaning of fboundp.
10778 * emacs-lisp/elint.el (elint-find-builtins):
10779 * emacs-lisp/eldoc.el (eldoc-symbol-function):
10780 * emacs-lisp/bytecomp.el (byte-compile-callargs-warn)
10781 (byte-compile-file-form-defmumble, byte-compile, byte-compile-form):
10782 * emacs-lisp/byte-opt.el (byte-compile-inline-expand):
10783 * apropos.el (apropos-safe-documentation):
10784 * subr.el (symbol-file): Remove redundant fboundp.
10785 * progmodes/idlw-shell.el (idlwave-shell-comint-filter): Use defalias.
10787 2014-01-06 Bastien Guerry <bzg@gnu.org>
10789 * hl-line.el (global-hl-line-overlay): Make a local variable.
10790 (global-hl-line-overlays): New variable to store all overlays.
10791 (global-hl-line-mode): Don't delete overlays from the current
10792 buffer when `global-hl-line-sticky-flag' is non-nil.
10793 (global-hl-line-highlight): Add new overlays to
10794 `global-hl-line-overlays'.
10795 (global-hl-line-unhighlight-all): New function to delete all
10796 overlays when turning off `global-hl-line-mode'.
10797 This fixes Bug#16183.
10799 2014-01-06 Stefan Monnier <monnier@iro.umontreal.ca>
10801 * subr.el (set-transient-map): Fix nested case and docstring.
10803 2014-01-06 Tassilo Horn <tsdh@gnu.org>
10805 * textmodes/reftex-vars.el (reftex-label-alist-builtin): Add a
10808 2014-01-06 Daniel Colascione <dancol@dancol.org>
10810 Fix defun navigation in vc log view.
10812 * vc/log-view.el (log-view-beginning-of-defun): Rewrite to behave
10813 like `beginning-of-defun'.
10814 (log-view-end-of-defun, log-view-end-of-defun-1): Rename old
10815 log-view-end-of-defun to log-view-end-of-defun-1. Replace
10816 log-view-end-of-defun with wrapper that behaves like `end-of-defun'.
10817 (log-view-extract-comment): Call `log-view-current-entry' directly
10818 instead of relying on broken `log-view-beginning-of-defun' behavior.
10820 2014-01-06 Paul Eggert <eggert@cs.ucla.edu>
10823 * calc/calc-yank.el (calc-edit-mode, calc-edit-cancel):
10824 * emacs-lisp/debug.el (cancel-debug-on-entry):
10825 * epg.el (epg-error-to-string):
10826 * files.el (recover-file):
10827 * lpr.el (lpr-buffer, print-buffer, lpr-region, print-region):
10828 * mail/emacsbug.el (report-emacs-bug-hook):
10829 * mail/sendmail.el (mail-recover):
10830 * ses.el (ses-yank-resize):
10831 * term/ns-win.el (ns-print-buffer):
10832 Spelling fixes in diagnostics, mostly for "canceled" with one L.
10833 * epg.el (epg-key-capability-alist): Rename from misspelled version.
10835 * obsolete/xesam.el (xesam-all-fields): Fix misspelled field name.
10837 2014-01-06 Leo Liu <sdl.web@gmail.com>
10839 * dired-x.el (dired-mode-map): Rebind dired-omit-mode to C-x M-o
10840 to avoid shadowing global key. (Bug#16354)
10842 2014-01-06 Daniel Colascione <dancol@dancol.org>
10844 * textmodes/rst.el (rst-mode): Set electric-indent-inhibit for
10847 2014-01-05 Martin Rudalics <rudalics@gmx.at>
10849 * window.el (balance-windows): Add mising t to fix Bug#16351.
10851 2014-01-05 Lars Magne Ingebrigtsen <larsi@gnus.org>
10853 * net/shr.el (shr-descend): Don't bug out if the anchor is empty
10855 (shr-insert): If we have a word that's longer than `shr-width',
10856 break after it anyway. Otherwise we'll do no breaking once we get
10859 2014-01-05 Kenjiro NAKAYAMA <nakayamakenjiro@gmail.com>
10861 * net/eww.el (eww): Support single/double quote for search.
10862 * net/eww.el (eww-list-histories, eww-history-browse): Fixup.
10863 (eww-history-quit): Delete and use quit-window.
10864 (eww-history-kill): Delete, because it doesn't work well and
10866 (eww-history-mode-map): Delete some keys and add easy-menu.
10868 2014-01-05 Paul Eggert <eggert@cs.ucla.edu>
10870 Fix misspelling of 'chinese' in rx (Bug#16237).
10871 * emacs-lisp/rx.el (rx-categories): Correct spelling of
10874 Change subword regexps back to vars (Bug#16296).
10875 * progmodes/subword.el (subword-forward-regexp)
10876 (subword-backward-regexp): Change these back to variables.
10878 2014-01-03 Stefan Monnier <monnier@iro.umontreal.ca>
10880 * emacs-lisp/lisp-mode.el (lisp-mode-variables): Don't bother with
10881 syntax-begin-function (bug#16247).
10883 2014-01-03 Chong Yidong <cyd@gnu.org>
10885 * emacs-lisp/nadvice.el (advice--make-docstring): Change args.
10886 (advice--docstring): Delete variable.
10887 (advice--make-1): Leave the docstring empty.
10888 (advice-add): Use function-documentation for advised docstring.
10890 * emacs-lisp/advice.el (ad--make-advised-docstring): Change args.
10891 Ignore function-documentation property when getting documentation.
10892 (ad-activate-advised-definition): Use function-documentation
10893 generate the docstring.
10894 (ad-make-advised-definition): Don't call
10895 ad-make-advised-definition-docstring.
10896 (ad-make-advised-definition-docstring, ad-advised-definition-p):
10899 * progmodes/sql.el (sql-help): Use function-documentation instead
10900 of dynamic-docstring-function property. No need to autoload now.
10901 (sql--help-docstring): New variable.
10902 (sql--make-help-docstring): Use it.
10904 2014-01-03 Stefan Monnier <monnier@iro.umontreal.ca>
10906 * ielm.el (ielm-tab): Retarget.
10907 (ielm-map): Use ielm-tab for tab.
10908 (ielm-complete-filename): Use comint-filename-completion.
10909 (ielm-complete-symbol): Remove.
10910 (inferior-emacs-lisp-mode): Use lisp-completion-at-point instead and
10911 remove ielm-tab from completion-at-point-functions (bug#16224).
10913 * emacs-lisp/pcase.el (pcase--split-equal, pcase--split-member):
10914 Beware signals raised by predicates (bug#16201).
10916 2014-01-02 Richard Stallman <rms@gnu.org>
10918 * dired-aux.el (dired-do-print): Handle printer-name.
10920 * mail/rmailmm.el (rmail-mime-message-p): Move to rmail.el.
10921 * mail/rmail.el (rmail-mime-message-p): Move from rmailmm.el.
10922 (rmail-epa-decrypt): Turn off mime processing.
10924 * mail/rmail.el (rmail-make-in-reply-to-field):
10925 Add parens in message-id.
10927 * mail/rmail.el (rmail-get-coding-function): Variable.
10928 (rmail-get-coding-system): Use it.
10930 2013-12-31 Eli Zaretskii <eliz@gnu.org>
10932 * international/mule-conf.el: Unify the charset indian-is13194.
10933 (indian-is13194): Specify unify-map.
10935 2013-12-31 Leo Liu <sdl.web@gmail.com>
10937 * subr.el (set-temporary-overlay-map): Obsolete alias. (Bug#16305)
10939 2013-12-30 Daniel Colascione <dancol@dancol.org>
10941 * term/x-win.el ([XF86WakeUp]): Ignore the XF86WakeUp key instead
10942 of printing a useless when we resume from sleep.
10944 * progmodes/sh-script.el
10945 (sh-smie-sh-forward-token, sh-smie-rc-forward-token): Fix infloop
10946 in indentation code. (Bug#16233)
10948 2013-12-28 João Távora <joaotavora@gmail.com>
10950 * elec-pair.el (electric-pair-post-self-insert-function):
10951 Don't open extra newlines at beginning of buffer. (Bug#16272)
10953 2013-12-28 Eli Zaretskii <eliz@gnu.org>
10955 * frame.el (window-system-for-display): Don't allow to create a
10956 GUI frame from a -nw session on MS-Windows. (Bug#14739)
10958 2013-12-28 Glenn Morris <rgm@gnu.org>
10960 * mail/hashcash.el (hashcash-program): Rename from hashcash-path.
10963 * apropos.el (apropos-match-face):
10964 * calculator.el (calculator-displayer):
10965 * dabbrev.el (dabbrev-search-these-buffers-only):
10966 * face-remap.el (buffer-face-mode-face):
10967 * simple.el (yank-handled-properties):
10968 * emacs-lisp/testcover.el (testcover-potentially-1value-functions):
10969 * mail/footnote.el (footnote-mode-line-string, footnote-prefix):
10970 * mail/hashcash.el (hashcash-accept-resources, hashcash-program)
10971 (hashcash-double-spend-database):
10972 * progmodes/ruby-mode.el (ruby-deep-indent-paren)
10973 (ruby-deep-indent-paren-style):
10974 * textmodes/flyspell.el (flyspell-auto-correct-binding):
10975 * textmodes/rst.el (rst-toc-indent, rst-toc-insert-style)
10976 (rst-toc-insert-number-separator, rst-toc-insert-max-level):
10977 * vc/pcvs-defs.el (cvs-minor-mode-prefix):
10978 Specify custom types.
10980 * emacs-lisp/smie.el (smie-config): Add type, version, initialize.
10981 * bookmark.el (bookmark-bmenu-use-header-line):
10982 * doc-view.el (doc-view-scale-internally):
10983 * pcmpl-x.el (pcmpl-x-tlmgr-program, pcmpl-x-ack-program):
10984 * register.el (register-preview-delay):
10985 * net/shr.el (shr-bullet):
10986 * progmodes/cfengine.el (cfengine-cf-promises)
10987 (cfengine-parameters-indent):
10988 * progmodes/octave.el (inferior-octave-error-regexp-alist):
10989 * textmodes/reftex-vars.el (reftex-label-regexps):
10990 * vc/log-edit.el (log-edit-setup-add-author): Add version.
10992 * net/tls.el (tls-certtool-program): Fix default value.
10994 * desktop.el (desktop-restore-in-current-display):
10995 * newcomment.el (comment-empty-lines):
10996 * progmodes/idlwave.el (idlwave-scan-all-buffers-for-routine-info)
10997 (idlwave-pad-keyword):
10998 * progmodes/tcl.el (tcl-tab-always-indent):
10999 * textmodes/reftex-vars.el (reftex-index-default-tag):
11000 * elec-pair.el (electric-pair-skip-whitespace):
11001 * progmodes/cfengine.el (cfengine-cf-promises): Fix custom types.
11003 * emacs-lisp/authors.el (authors-ignored-files)
11004 (authors-valid-file-names, authors-renamed-files-alist): Additions.
11006 2013-12-27 Jarek Czekalski <jarekczek@poczta.onet.pl>
11008 * shell.el (shell-dynamic-complete-command): Doc fix.
11009 (shell--command-completion-data): Shell completion now matches
11010 executable filenames from the current buffer's directory, on
11011 systems in which this behavior is the default (windows-nt, ms-dos).
11013 2013-12-27 Lars Ingebrigtsen <larsi@gnus.org>
11015 * net/shr.el (shr-insert): Don't infloop if the width is zero.
11017 2013-12-27 Stefan Monnier <monnier@iro.umontreal.ca>
11019 * icomplete.el (icomplete-show-matches-on-no-input): Default to nil
11022 * electric.el: Move all electric-pair-* to elec-pair.el.
11023 * elec-pair.el: New file, split from electric.el.
11025 2013-12-27 Lars Ingebrigtsen <larsi@gnus.org>
11027 * net/shr.el (shr-find-fill-point): Don't try to fill if the
11028 indentation level is larger than the width, because that will
11030 (shr-insert): Fill repeatedly long texts, so that Japanese is
11031 formatted correctly (bug#16263).
11032 (shr-find-fill-point): Off by one error in comparison with the
11035 2013-12-26 João Távora <joaotavora@gmail.com>
11037 * electric.el (electric-pair-mode): More flexible engine for skip-
11038 and inhibit predicates, new options for pairing-related functionality.
11039 (electric-pair-preserve-balance): Pair/skip parentheses and quotes
11040 if that keeps or improves their balance in buffers.
11041 (electric-pair-delete-adjacent-pairs): Delete the pair when
11042 backspacing over adjacent matched delimiters.
11043 (electric-pair-open-extra-newline): Open extra newline when
11044 inserting newlines between adjacent matched delimiters.
11045 (electric--sort-post-self-insertion-hook):
11046 Sort post-self-insert-hook according to priority values when
11047 minor-modes are activated.
11048 * simple.el (newline-and-indent): Call newline with interactive
11050 (blink-paren-post-self-insert-function): Set priority to 100.
11051 * emacs-lisp/lisp-mode.el (lisp-mode-variables):
11052 Use electric-pair-text-pairs to pair backtick-and-quote in strings and
11053 comments. Locally set electric-pair-skip-whitespace to 'chomp and
11054 electric-pair-open-newline-between-pairs to nil.
11056 2013-12-26 Fabián Ezequiel Gallina <fgallina@gnu.org>
11058 * progmodes/python.el: Use lexical-binding.
11059 (python-nav-beginning-of-defun): Stop searching ASAP.
11061 2013-12-25 Xue Fuqiao <xfq.free@gmail.com>
11063 * vc/vc.el (vc-ignore): Use `vc-responsible-backend'.
11064 Fix interactive spec. Doc fix. (Bug#15754)
11066 2013-12-25 Katsumi Yamaoka <yamaoka@jpl.org>
11068 * emacs-lisp/byte-run.el (eval-when-compile):
11069 * progmodes/cc-defs.el (cc-eval-when-compile):
11070 Fix edebug spec (bug#16184).
11072 2013-12-25 Lars Ingebrigtsen <larsi@gnus.org>
11074 * net/shr.el (shr-visit-file): Remove debugging function.
11075 (shr-insert): Don't infloop if we can't find a good place to break
11076 the line (bug#16256).
11078 2013-12-25 Fabián Ezequiel Gallina <fgallina@gnu.org>
11080 * progmodes/python.el (python-nav--lisp-forward-sexp): New function.
11081 (python-nav--lisp-forward-sexp-safe): Use it. Rename from
11082 python-nav-lisp-forward-sexp-safe.
11083 (python-nav--forward-sexp): New argument SAFE allows switching
11084 forward sexp movement behavior for parens.
11085 (python-nav-forward-sexp): Throw errors on unterminated parens
11087 (python-nav-backward-sexp, python-nav-forward-sexp-safe)
11088 (python-nav-backward-sexp-safe): New functions.
11089 (python-shell-buffer-substring):
11090 Use `python-nav-forward-sexp-safe'.
11092 2013-12-25 Lars Ingebrigtsen <larsi@gnus.org>
11094 * net/shr.el (shr-find-fill-point): Don't break lines before a
11096 (shr-char-kinsoku-bol-p): The quotation mark isn't a kinsoky BOL char.
11097 (shr-find-fill-point): Remove the special checks for the quotation
11098 mark, since `shr-char-kinsoku-bol-p' should now return the right thing.
11100 2013-12-25 Kenjiro NAKAYAMA <nakayamakenjiro@gmail.com>
11102 * net/eww.el (eww-form-textarea): Use a different face for
11103 textareas than text input since they have different keymaps
11106 2013-12-24 Fabián Ezequiel Gallina <fgallina@gnu.org>
11108 * progmodes/python.el (python-nav-beginning-of-statement):
11109 Speed up (Bug#15295).
11111 2013-12-24 Lars Ingebrigtsen <larsi@gnus.org>
11113 * net/eww.el (eww-bookmark-browse): Use `quit-window' to restore
11114 the window configuration.
11116 2013-12-24 Eli Zaretskii <eliz@gnu.org>
11118 * net/eww.el (eww-open-file): Ensure 3 slashes after "file:" when
11119 we run on MS-Windows or MS-DOS.
11121 2013-12-24 Martin Rudalics <rudalics@gmx.at>
11123 * window.el (balance-windows-area): Call window-size instead of
11124 window-height and window-width. Bug#16241.
11126 2013-12-24 Lars Ingebrigtsen <larsi@gnus.org>
11128 * net/eww.el (eww-bookmark-quit): Remove.
11129 (eww-bookmark-browse): Restore the window configuration when you
11130 choose a bookmark (bug#16144).
11132 2013-12-24 Daniel Colascione <dancol@dancol.org>
11134 * icomplete.el: Remove redundant :group arguments to `defcustom'
11136 (icomplete-show-matches-on-no-input): New customizable variable.
11137 (icomplete-minibuffer-setup): Call `icomplete-exhibit' on setup if
11138 we have something to show.
11139 (icomplete-exhibit): Compute completions even if we have no user input.
11141 2013-12-23 Daniel Colascione <dancol@dancol.org>
11143 * icomplete.el: Move `provide' to end of file.
11145 2013-12-23 Teodor Zlatanov <tzz@lifelogs.com>
11147 * net/gnutls.el (gnutls-verify-error): Add version tag.
11149 2013-12-23 Chong Yidong <cyd@gnu.org>
11151 * subr.el (set-transient-map): Rename from
11152 set-temporary-overlay-map. Doc fix.
11154 * face-remap.el (text-scale-adjust):
11155 * indent.el (indent-rigidly):
11156 * kmacro.el (kmacro-call-macro):
11157 * minibuffer.el (minibuffer-force-complete):
11158 * repeat.el (repeat):
11159 * simple.el (universal-argument--mode):
11160 * calendar/todo-mode.el (todo-insert-item--next-param):
11161 * progmodes/f90.el (f90-abbrev-start): Callers changed.
11163 * indent.el (indent-rigidly): Use substitute-command-keys.
11165 2013-12-22 Kenjiro NAKAYAMA <nakayamakenjiro@gmail.com>
11167 * net/eww.el (eww-tag-select): Add text-property to jump to next
11169 (eww): Add non-supported ftp error.
11171 2013-12-22 Dmitry Gutov <dgutov@yandex.ru>
11173 * progmodes/ruby-mode.el (ruby--electric-indent-p): Improve the
11174 comments. Handle electric indent after typing `?' and `!'.
11176 2013-12-22 Chong Yidong <cyd@gnu.org>
11178 * faces.el (face-spec-recalc): If the theme specs are not
11179 applicable to a frame, fall back on the defface spec.
11180 This prevents themes from obliterating faces on low-color terminals.
11182 2013-12-22 Dmitry Gutov <dgutov@yandex.ru>
11184 * progmodes/ruby-mode.el (ruby-smie--implicit-semi-p): Return t
11185 after `{'. We need it after block openers, and it doesn't seem
11186 to hurt after hash openers.
11188 2013-12-22 Dmitry Gutov <dgutov@yandex.ru>
11190 * progmodes/ruby-mode.el (ruby--at-indentation-p): New function,
11191 extracted from `ruby-smie-rules'.
11192 (ruby--electric-indent-chars): New variable.
11193 (ruby--electric-indent-p): New function.
11194 (ruby-mode): Use `electric-indent-functions' instead of
11195 `electric-indent-chars'.
11197 2013-12-22 Dmitry Gutov <dgutov@yandex.ru>
11199 * progmodes/ruby-mode.el (ruby-align-to-stmt-keywords): Tweak the
11201 (ruby-smie-rules): Indent plus one level after `=>'.
11203 2013-12-21 Richard Stallman <rms@gnu.org>
11205 * simple.el (newline): Doc fix.
11207 2013-12-21 Kenjiro NAKAYAMA <nakayamakenjiro@gmail.com>
11209 * net/eww.el (eww-list-histories, eww-list-histories)
11210 (eww-history-browse, eww-history-quit, eww-history-kill)
11211 (eww-history-mode-map, eww-history-mode): New command and
11212 functions to list browser histories.
11213 (eww-form-text): Support text form with disabled
11214 and readonly attributes.
11215 (eww-checkbox-map): Fix wrong key bind to `eww-toggle-checkbox'.
11217 2013-12-21 Rüdiger Sonderfeld <ruediger@c-plusplus.de>
11219 * net/eww.el (eww-back-url, eww-forward-url, eww-next-url)
11220 (eww-previous-url, eww-up-url, eww-top-url, eww-add-bookmark)
11221 (eww-bookmark-prepare, eww-bookmark-kill, eww-bookmark-yank)
11222 (eww-bookmark-browse, eww-next-bookmark, eww-previous-bookmark):
11224 (eww-bookmark-mode-map): Add menu.
11225 (eww-render, eww-mode): Use `setq-local'.
11226 (eww-tool-bar-map): New variable.
11227 (eww-mode): Set `tool-bar-map'.
11228 (eww-view-source): Check for `html-mode' with `fboundp'.
11230 2013-12-21 Lars Magne Ingebrigtsen <larsi@gnus.org>
11232 * net/shr.el (shr--extract-best-source): Don't bug out on audio
11233 elements with text inside. Also remove debugging.
11235 2013-12-21 Jan Djärv <jan.h.d@swipnet.se>
11237 * cus-start.el (all): Add ns-use-srgb-colorspace.
11239 2013-12-21 Chong Yidong <cyd@gnu.org>
11241 * custom.el (custom-theme-recalc-face): Do nothing if the face is
11242 undefined. Thus, theme settings for undefined faces do not take
11243 effect until the faces are defined with defface, the same as with
11246 * faces.el (face-spec-set): Use face-spec-recalc in all cases.
11247 (face-spec-reset-face): Don't assign extra properties in temacs.
11248 (face-spec-recalc): Apply X resources too.
11250 2013-12-21 Chong Yidong <cyd@gnu.org>
11252 * faces.el (face-spec-set):
11253 * cus-face.el (custom-theme-set-faces, custom-set-faces):
11254 * custom.el (defface): Doc fixes (Bug#16203).
11256 * indent.el (indent-rigidly-map): Add docstring, and move commands
11257 into named functions.
11258 (indent-rigidly-left, indent-rigidly-right)
11259 (indent-rigidly-left-to-tab-stop)
11260 (indent-rigidly-right-to-tab-stop): New functions. Decide on
11261 indentation direction based on bidi direction, and accumulate
11262 sequential commands in a single undo boundary.
11263 (indent-rigidly--pop-undo): New utility function.
11265 2013-12-20 Juanma Barranquero <lekktu@gmail.com>
11267 * faces.el (read-face-name): Require crm.el when using crm-separator.
11269 2013-12-20 Daniel Colascione <dancol@dancol.org>
11271 * progmodes/sh-script.el (sh-mode): Tweak paragraph-separate
11272 so that we don't reflow comments into the shebang line.
11274 2013-12-20 Juri Linkov <juri@jurta.org>
11276 * saveplace.el (save-place-to-alist): Add `dired-filename' as
11277 a position when `dired-directory' is non-nil. Check integer
11278 positions with `integerp'.
11279 (toggle-save-place, save-places-to-alist): Add check for
11281 (save-place-find-file-hook): Check integer positions with
11283 (save-place-dired-hook): Use `dired-goto-file' when
11284 `dired-filename' is found in the assoc list. Check integer
11285 positions with `integerp'.
11286 (dired-initial-position-hook): Rename from `dired-initial-point-hook'.
11288 * dired.el (dired-initial-position-hook): Rename back from
11289 `dired-initial-point-hook'.
11290 (dired-initial-position): Rename `dired-initial-point-hook' to
11291 `dired-initial-position-hook'.
11292 (dired-file-name-at-point): Doc fix. (Bug#15329)
11294 2013-12-20 Juri Linkov <juri@jurta.org>
11296 * replace.el (read-regexp-defaults-function): New defcustom (bug#14405).
11297 (read-regexp-suggestions): New function.
11298 (read-regexp): Use `read-regexp-defaults-function' to get default values.
11299 Use `read-regexp-suggestions'. Add non-empty default to history
11301 (occur-read-regexp-defaults-function): Remove function.
11302 (occur-read-primary-args): Use `regexp-history-last' instead of
11303 `occur-read-regexp-defaults-function'.
11305 * hi-lock.el (hi-lock-read-regexp-defaults-function): Remove function.
11306 (hi-lock-line-face-buffer, hi-lock-face-buffer)
11307 (hi-lock-face-phrase-buffer): Use `regexp-history-last' instead of
11308 `hi-lock-read-regexp-defaults-function'. Doc fix.
11309 (hi-lock-face-symbol-at-point): Replace `find-tag-default-as-regexp'
11310 with `find-tag-default-as-symbol-regexp'. Doc fix.
11311 (hi-lock-read-regexp-defaults): Remove function.
11312 (hi-lock-regexp-okay): Add check for null.
11314 * progmodes/grep.el (grep-read-regexp): Use `grep-tag-default' for
11315 the arg DEFAULTS. Move formatting of the prompt to `read-regexp'.
11317 * subr.el (find-tag-default-as-symbol-regexp): New function.
11318 (find-tag-default-as-regexp): Move symbol regexp formatting to
11319 `find-tag-default-as-symbol-regexp'.
11321 2013-12-20 E Sabof <esabof@gmail.com> (tiny change)
11323 * hi-lock.el (hi-lock-set-pattern): Check for `font-lock-specified-p'.
11326 2013-12-20 Stephen Berman <stephen.berman@gmx.net>
11328 * calendar/todo-mode.el: New implementation of item insertion
11329 commands and key bindings.
11330 (todo-key-prompt): New face.
11331 (todo-insert-item): New command.
11332 (todo-insert-item--parameters): New defconst, replacing defvar
11333 todo-insertion-commands-args-genlist.
11334 (todo-insert-item--param-key-alist): New defconst, replacing
11335 defvar todo-insertion-commands-arg-key-list.
11336 (todo-insert-item--keyof, todo-insert-item--this-key): New defsubsts.
11337 (todo-insert-item--argsleft, todo-insert-item--apply-args)
11338 (todo-insert-item--next-param): New functions.
11339 (todo-insert-item--args, todo-insert-item--argleft)
11340 (todo-insert-item--argsleft, todo-insert-item--newargsleft):
11342 (todo-key-bindings-t): Change binding of "i" from
11343 todo-insertion-map to todo-insert-item.
11344 (todo-powerset, todo-gen-arglists, todo-insertion-commands-args)
11345 (todo-insertion-command-name, todo-insertion-commands-names)
11346 (todo-define-insertion-command, todo-insertion-commands)
11347 (todo-insertion-key-bindings, todo-insertion-map): Remove.
11349 2013-12-20 Stephen Berman <stephen.berman@gmx.net>
11351 * calendar/todo-mode.el: Bug fixes and new features (bug#15225).
11352 (todo-toggle-item-highlighting): Use eval-and-compile instead of
11354 (todo-move-category): Allow choosing a non-existing todo file to
11355 move the category to, and create that file.
11356 (todo-default-priority): New user option.
11357 (todo-set-item-priority): Use it.
11358 (todo-desktop-save-buffer, todo-restore-desktop-buffer): New functions.
11359 (desktop-restore-file-buffer): Declare.
11360 (desktop-buffer-mode-handlers): Add todo-restore-desktop-buffer.
11361 (todo-modes-set-2): Locally set desktop-save-buffer to
11362 todo-desktop-save-buffer.
11363 (todo-mode, todo-archive-mode, todo-filtered-items-mode)
11364 (auto-mode-alist): Add autoload cookie.
11366 2013-12-20 Bozhidar Batsov <bozhidar@batsov.com>
11368 * emacs-lisp/subr-x.el: Renamed from helpers.el.
11369 helpers.el was a poor choice of name.
11370 (string-remove-prefix): New function.
11371 (string-remove-suffix): New function.
11373 2013-12-20 Martin Rudalics <rudalics@gmx.at>
11375 Fix assignment for new window total sizes.
11376 * window.el (window--pixel-to-size): Remove function.
11377 (window--pixel-to-total-1, window--pixel-to-total):
11378 Fix calculation of new total sizes.
11380 2013-12-20 Vitalie Spinu <spinuvit@gmail.com>
11382 * comint.el (comint-output-filter): Fix rear-nonsticky property
11383 placement (Bug#16010).
11385 2013-12-20 Chong Yidong <cyd@gnu.org>
11387 * faces.el (read-color): Minor fix for completion function.
11389 2013-12-20 Dmitry Gutov <dgutov@yandex.ru>
11391 * progmodes/ruby-mode.el (ruby-align-to-stmt-keywords):
11392 New option. (Bug#16182)
11393 (ruby-smie--indent-to-stmt-p): Use it.
11394 (ruby-smie-rules): Revert the logic in the handling of `when'.
11395 Expand the begin clause to handle `ruby-align-to-stmt-keywords'.
11396 (ruby-deep-arglist, ruby-deep-indent-paren)
11397 (ruby-deep-indent-paren-style): Update docstrings to note that the
11398 vars don't have any effect with SMIE.
11400 2013-12-20 Jay Belanger <jay.p.belanger@gmail.com>
11402 * calc/calc.el (calc-enter, calc-pop): Use the variable
11403 `calc-context-sensitive-enter'.
11405 2013-12-20 Lars Magne Ingebrigtsen <larsi@gnus.org>
11407 * net/shr.el (shr-insert): Protect against infloops in degenerate
11410 2013-12-20 Rüdiger Sonderfeld <ruediger@c-plusplus.de>
11412 * progmodes/octave.el (octave): Add link to manual and octave
11414 (octave-mode-menu): Link to octave-mode manual.
11416 2013-12-20 Leo Liu <sdl.web@gmail.com>
11418 * skeleton.el (skeleton-pair-insert-maybe): Disable newline
11419 insertion using skeleton-end-newline. (Bug#16138)
11421 2013-12-20 Juri Linkov <juri@jurta.org>
11423 * replace.el (occur-engine): Use `add-face-text-property'
11424 to add the face property to matches and titles. (Bug#14645)
11426 * hi-lock.el (hi-green): Use lighter color "light green" closer to
11427 the palette of other hi-lock colors.
11428 (hi-lock-set-pattern): Prepend hi-lock face to the existing face.
11430 2013-12-19 Juri Linkov <juri@jurta.org>
11432 * isearch.el (isearch-mode-map): Bind `M-s e' to `isearch-edit-string'.
11433 Put :advertised-binding on `M-s c', `M-s r', `M-s e'. (Bug#16035)
11434 (minibuffer-history-symbol): Move variable declaration closer to
11437 * isearchb.el (isearchb): Add `event-basic-type' on `last-command-event'.
11440 2013-12-19 Juri Linkov <juri@jurta.org>
11442 * vc/log-edit.el (log-edit-insert-filenames-without-changelog):
11444 (log-edit-hook): Add it to :options. (Bug#16170)
11446 2013-12-19 Juri Linkov <juri@jurta.org>
11448 * simple.el (eval-expression-print-format): Don't check for
11449 command names and the last command. Always display additional
11450 formats of the integer result in the echo area, and insert them
11451 to the current buffer only with a zero prefix arg.
11452 Display character when char-displayable-p is non-nil.
11453 (eval-expression): With a zero prefix arg, set `print-length' and
11454 `print-level' to nil, and insert the integer values from
11455 `eval-expression-print-format' at the end. Doc fix. (Bug#12985)
11457 * emacs-lisp/lisp-mode.el (eval-print-last-sexp): Add arg
11458 `eval-last-sexp-arg-internal'. Doc fix.
11459 (eval-last-sexp-1): Pass arg `eval-last-sexp-arg-internal' to
11460 `eval-last-sexp-print-value'. Doc fix.
11461 (eval-last-sexp-print-value): Add arg `eval-last-sexp-arg-internal'.
11462 Set `print-length' and `print-level' to nil when arg is zero.
11463 (eval-last-sexp): Doc fix.
11464 (eval-defun-2): Print the integer values from
11465 `eval-expression-print-format' at the end.
11467 * emacs-lisp/edebug.el (edebug-eval-defun): Print the integer
11468 values from `eval-expression-print-format' at the end.
11470 * ielm.el (ielm-eval-input): Print the integer
11471 values from `eval-expression-print-format' at the end.
11473 2013-12-19 Teodor Zlatanov <tzz@lifelogs.com>
11475 * net/eww.el (eww-exit, eww-close, eww-mode-map): Revert change of
11476 2013-12-11T19:01:44Z!tzz@lifelogs.com.
11478 2013-12-19 Stefan Monnier <monnier@iro.umontreal.ca>
11480 * hl-line.el (hl-line-make-overlay): New fun. Set priority (bug#16192).
11481 (hl-line-highlight, global-hl-line-highlight): Use it.
11482 (hl-line-overlay): Use defvar-local.
11484 2013-12-19 Jan Djärv <jan.h.d@swipnet.se>
11486 * term/ns-win.el: Require dnd.
11487 (global-map): Remove drag items.
11488 (ns-insert-text, ns-set-foreground-at-mouse)
11489 (ns-set-background-at-mouse):
11490 Remove (ns-drag-n-drop, ns-drag-n-drop-other-frame)
11491 (ns-drag-n-drop-as-text, ns-drag-n-drop-as-text-other-frame):
11494 2013-12-19 Glenn Morris <rgm@gnu.org>
11496 * emacs-lisp/ert.el (ert-select-tests):
11497 Fix string/symbol mixup. (Bug#16121)
11499 2013-12-19 Dmitry Gutov <dgutov@yandex.ru>
11501 * progmodes/ruby-mode.el (ruby-smie-rules): Indent middle-of-block
11502 keywords to their parent.
11504 2013-12-19 Dmitry Gutov <dgutov@yandex.ru>
11506 * progmodes/ruby-mode.el (ruby-smie--args-separator-p): Allow the
11507 first arg to be a string (fixed dead code), or an operator symbol.
11508 (ruby-smie--forward-token): Tokenize ` @ ' before strings and
11510 (ruby-smie-rules): Remove parent token check in the `.' clause, it
11511 did nothing. Don't respond to `(:after ".")', it will be called
11512 with :before anyway. Remove the ` @ ' rule, it didn't seem to
11513 change anything. Only return indentation for binary operators
11514 when they are hanging. De-dent opening paren when its parent is
11515 `.', otherwise it looks bad when the dot is not at bol or eol
11518 2013-12-19 Juri Linkov <juri@jurta.org>
11520 * replace.el (query-replace-read-args): Split a non-negative arg
11521 and a negative arg into separate elements.
11522 (query-replace, query-replace-regexp, replace-string)
11523 (replace-regexp): Add arg `backward'. Doc fix.
11524 (replace-match-maybe-edit): When new arg `backward' is non-nil,
11525 move point to the beginning of the match.
11526 (replace-search, replace-highlight): Use new arg `backward'
11527 to set the value of `isearch-forward'.
11528 (perform-replace): Add arg `backward' and use it to perform
11529 replacement backward. (Bug#14979)
11531 * isearch.el (isearch-query-replace): Use a negative prefix arg
11532 to call `perform-replace' with a non-nil arg `backward'.
11534 2013-12-18 Juri Linkov <juri@jurta.org>
11536 * vc/log-edit.el (log-edit-hook): Add `log-edit-insert-message-template'
11537 to the default list. Move `log-edit-show-files' to the end.
11538 Add more available functions to options.
11539 (log-edit): Move default specific settings to
11540 `log-edit-insert-message-template'. Don't move point.
11541 (log-edit-insert-message-template): New function.
11542 (log-edit-insert-changelog): Add `save-excursion' and don't move point.
11545 2013-12-18 Juri Linkov <juri@jurta.org>
11547 * help-mode.el (help-mode-map): Bind "l" to help-go-back,
11548 and "r" to help-go-forward for compatibity with Info. (Bug#16178)
11550 2013-12-18 Leo Liu <sdl.web@gmail.com>
11552 * eshell/em-prompt.el (eshell-emit-prompt): Fix last change.
11555 2013-12-18 Eli Zaretskii <eliz@gnu.org>
11557 * ls-lisp.el (ls-lisp-insert-directory): Don't modify %d and %f
11558 formats for displaying file sizes when the -s switch is given.
11559 Instead, compute a separate format for displaying the size in
11560 blocks, which is displayed in addition to the "regular" size.
11561 When -h is given in addition to -s, produce size in blocks in
11562 human-readable form as well. (Bug#16179)
11564 2013-12-18 Tassilo Horn <tsdh@gnu.org>
11566 * textmodes/reftex-vars.el (reftex-label-alist-builtin):
11567 Reference tables with ~\ref{...} instead of only \ref{...}.
11569 2013-12-18 Chong Yidong <cyd@gnu.org>
11571 * cus-edit.el (custom-magic-alist): Fix "themed" description
11574 * custom.el (custom-push-theme): If custom--inhibit-theme-enable
11575 is non-nil, do not create a new entry in the symbol's theme-value
11576 or theme-face property; update theme-settings only (Bug#14664).
11577 (custom-available-themes): Doc fix.
11579 * cus-theme.el (custom-new-theme-mode-map): Add bindings
11582 * replace.el (occur-engine): Avoid infloop (Bug#7593).
11584 2013-12-18 Kazuhiro Ito <kzhr@d1.dion.ne.jp> (tiny change)
11586 * progmodes/make-mode.el (makefile-fill-paragraph): Fix infloop
11589 2013-12-18 Shigeru Fukaya <shigeru.fukaya@gmail.com>
11591 * apropos.el (apropos-words-to-regexp): Fix algorithm (Bug#13946).
11593 2013-12-18 Glenn Morris <rgm@gnu.org>
11595 * Makefile.in (BYTE_COMPILE_FLAGS): Set load-prefer-newer to t.
11596 * cus-start.el (load-prefer-newer): New option.
11598 2013-12-18 Le Wang <l26wang@gmail.com>
11600 * comint.el (comint-previous-matching-input-from-input):
11601 Retain point (Bug#13404).
11603 2013-12-18 Chong Yidong <cyd@gnu.org>
11605 * simple.el (append-next-kill): Doc fix (Bug#15995, Bug#16016).
11607 2013-12-18 Glenn Morris <rgm@gnu.org>
11609 * mail/emacsbug.el (report-emacs-bug):
11610 Only mention enable-multibyte-characters if non-standard.
11612 2013-12-17 Juri Linkov <juri@jurta.org>
11614 * arc-mode.el (archive-extract-by-file): Check if directory exists
11615 before deletion to not show irrelevant errors if it doesn't exist.
11617 2013-12-17 Juri Linkov <juri@jurta.org>
11619 * menu-bar.el (menu-bar-tools-menu): Add `browse-web'.
11622 * net/eww.el (browse-web): Add alias to `eww'.
11623 (eww-mode-map): Bind "r" to `eww-forward-url' like in Info.
11624 Bind "S-SPC" to `scroll-down-command'. (Bug#16178)
11626 * net/browse-url.el (browse-url-browser-function): Move `eww'
11627 closer to similar functions.
11629 * startup.el (fancy-startup-screen, fancy-about-screen):
11630 Set browse-url-browser-function to eww-browse-url locally.
11633 2013-12-17 Stefan Monnier <monnier@iro.umontreal.ca>
11635 * window.el (window--pixel-to-total): Remove unused `mini' var.
11636 (maximize-window, minimize-window): Remove unused `pixelwise' arg.
11637 (split-window): Remove unused `new' var.
11638 (window--display-buffer): Remove unused `frame' and `delta' vars.
11639 (fit-window-to-buffer): Remove unused vars `frame', `display-height',
11640 and display-width'.
11642 2013-12-17 Martin Rudalics <rudalics@gmx.at>
11644 * dired.el (dired-mark-pop-up):
11645 * register.el (register-preview): Don't bind
11646 split-height-threshold here since it's now done in
11647 display-buffer-below-selected.
11649 2013-12-17 oblique <psyberbits@gmail.com> (tiny change)
11651 * term/rxvt.el (rxvt-rgb-convert-to-16bit): Standardize with
11652 xterm-rgb-convert-to-16bit.
11653 (rxvt-register-default-colors): Standardize with
11654 xterm-register-default-colors (Bug#14078).
11656 2013-12-17 Dima Kogan <dima@secretsauce.net> (tiny change)
11658 * simple.el (kill-region): Pass mark first, then point, so that
11659 kill-append works right (Bug#12819).
11660 (copy-region-as-kill, kill-ring-save): Likewise.
11662 2013-12-17 Leo Liu <sdl.web@gmail.com>
11664 * net/rcirc.el (rcirc-add-face):
11665 * eshell/em-prompt.el (eshell-emit-prompt):
11666 * eshell/em-ls.el (eshell-ls-decorated-name): Use font-lock-face.
11669 2013-12-17 Chong Yidong <cyd@gnu.org>
11671 * files.el (break-hardlink-on-save): Doc fix (Bug#13801).
11672 Suggested by Xue Fuqiao.
11674 2013-12-17 Dmitry Gutov <dgutov@yandex.ru>
11676 * progmodes/ruby-mode.el (ruby-smie-rules): Indent ternary if.
11678 2013-12-17 Stefan Monnier <monnier@iro.umontreal.ca>
11680 * net/shr.el (shr-insert-document): Remove unused var
11681 `shr-preliminary-table-render'.
11682 (shr-rescale-image): Remove unused arg `force'.
11683 (shr-put-image): Update calls accordingly.
11684 (shr-tag-a): Use `cont' rather than dyn-bound `dom'.
11686 2013-12-17 Dmitry Gutov <dgutov@yandex.ru>
11688 * emacs-lisp/smie.el (smie-indent--rule): Extract `smie-indent--rule-1'.
11689 (smie-indent-close): Call `smie-indent--rule-1' with METHOD
11690 :close-all, to see which indentation method to use (Bug#16116).
11691 (smie-rules-function): Document the method :close-all.
11693 2013-12-16 Lars Magne Ingebrigtsen <larsi@gnus.org>
11695 * net/shr.el (shr-tag-a): Support zero-length <a name="foo"> elements.
11697 * net/eww.el (eww-display-html): If we can't find the anchor we're
11698 looking for, then go to point-min.
11700 2013-12-16 Paul Eggert <eggert@cs.ucla.edu>
11702 Fix problems with CANNOT_DUMP and EMACSLOADPATH.
11703 * Makefile.in (emacs): Add lisp src to EMACSLOADPATH.
11704 * loadup.el: Check for src/bootstrap-emacs only when Emacs can dump.
11705 Expand dir too, in case it's relative.
11707 2013-12-16 Juri Linkov <juri@jurta.org>
11709 * desktop.el (desktop-auto-save-timeout): Change default to
11710 `auto-save-timeout'. Doc fix.
11711 (desktop-save): Skip the timestamp in desktop-saved-frameset
11712 when checking for auto-save changes.
11713 (desktop-auto-save): Don't call desktop-auto-save-set-timer since
11714 `desktop-auto-save' is called repeatedly by the idle timer.
11715 (desktop-auto-save-set-timer): Replace `run-with-timer' with
11716 `run-with-idle-timer' and a non-nil arg REPEAT. Doc fix.
11719 2013-12-16 Juri Linkov <juri@jurta.org>
11721 * isearch.el (isearch-mode-map): Remove [escape] key bindinds.
11723 (isearch-pre-command-hook): Check `this-command' for symbolp.
11725 2013-12-16 Stefan Monnier <monnier@iro.umontreal.ca>
11727 * emacs-lisp/gv.el (gv-ref): Mention lexbind restriction (bug#16153).
11729 2013-12-16 Teodor Zlatanov <tzz@lifelogs.com>
11731 * progmodes/cfengine.el (cfengine3--current-word): Remove.
11732 (cfengine3--current-function): Bring in the current-function
11733 functionality from `cfengine3--current-word'.
11734 (cfengine3-completion-function): Bring in the
11735 bounds-of-current-word functionality from
11736 `cfengine3--current-word'.
11738 2013-12-16 Martin Rudalics <rudalics@gmx.at>
11740 * window.el (display-buffer-below-selected):
11741 Bind split-height-threshold to 0 as suggested by Juri Linkov.
11743 2013-12-16 Leo Liu <sdl.web@gmail.com>
11745 * progmodes/compile.el (compile-goto-error): Do not push-mark.
11746 Remove NOMSG arg and all uses changed.
11748 2013-12-16 Stefan Monnier <monnier@iro.umontreal.ca>
11750 * emulation/cua-rect.el (cua-rectangle-mark-mode): New minor mode.
11751 (cua--deactivate-rectangle): Don't deactivate the mark.
11752 (cua-set-rectangle-mark): Don't set mark-active since
11753 cua--activate-rectangle already does it for us.
11754 (cua--rectangle-highlight-for-redisplay): Unhighlight a previous
11755 non-rectangular region.
11757 * emulation/cua-base.el (cua-repeat-replace-region):
11758 Use with-current-buffer.
11760 * net/gnutls.el: Use cl-lib.
11761 (gnutls-negotiate): `mapcan' -> cl-mapcan.
11763 2013-12-14 Teodor Zlatanov <tzz@lifelogs.com>
11765 * emacs-lisp/package.el (package-built-in-p): Support both
11766 built-in and the package.el converted package descriptions.
11767 (package-show-package-list): Allow keywords.
11768 (package-keyword-button-action): Use it instead of
11769 `finder-list-matches'.
11770 (package-menu-filter-interactive): Interactive filtering (by
11772 (package-menu--generate): Support keywords and change keymappings
11773 and headers when they are given.
11774 (package--has-keyword-p): Helper function.
11775 (package-menu--refresh): Use it.
11776 (package--mapc): Helper function.
11777 (package-all-keywords): Use it.
11778 (package-menu-mode-map): Set up menu items and keybindings to
11779 provide a filtering UI.
11781 2013-12-14 Teodor Zlatanov <tzz@lifelogs.com>
11783 * net/gnutls.el (gnutls-verify-error): New defcustom to control
11784 the behavior when a certificate fails validation. Defaults to
11785 old behavior: never abort, just warn.
11786 (gnutls-negotiate): Use it.
11788 2013-12-14 Martin Rudalics <rudalics@gmx.at>
11790 * window.el (display-buffer-below-selected): Never split window
11791 horizontally. Suggested by Juri Linkov <juri@jurta.org>.
11793 2013-12-14 Tom Willemse <tom@ryuslash.org> (tiny change)
11795 * emacs-lisp/package.el (package--prepare-dependencies): New function.
11796 (package-buffer-info): Use it (bug#15108).
11798 2013-12-14 Stefan Monnier <monnier@iro.umontreal.ca>
11800 * icomplete.el (icomplete-completions): Make sure the prefix is already
11801 displayed elsewhere before hiding it (bug#16219).
11803 2013-12-14 Dmitry Gutov <dgutov@yandex.ru>
11805 * progmodes/ruby-mode.el (ruby-smie-rules): Return nil before
11806 open-paren tokens when preceded by a open-paren, too.
11807 (ruby-smie-rules): Handle virtual indentation after open-paren
11808 tokens specially. If there is code between it and eol, return the
11809 column where is starts (Bug#16118).
11811 2013-12-13 Teodor Zlatanov <tzz@lifelogs.com>
11813 * progmodes/cfengine.el: Fix `add-hook' doc.
11814 (cfengine-mode-syntax-functions-regex): Initialize sensibly.
11815 (cfengine3--current-word): Fix parameters.
11816 (cfengine3-make-syntax-cache): Simplify further.
11817 (cfengine3-completion-function, cfengine3--current-function):
11818 Use `assq' for symbols.
11819 (cfengine3--current-function): Fix `cfengine3--current-word' call.
11821 2013-12-13 Glenn Morris <rgm@gnu.org>
11823 * loadup.el (load-path): Warn if site-load or site-init changes it.
11824 No more need to reset it when bootstrapping.
11826 2013-12-13 Teodor Zlatanov <tzz@lifelogs.com>
11828 * progmodes/cfengine.el (cfengine-cf-promises): Add more default
11829 locations for cf-promises.
11830 (cfengine-mode-syntax-functions-regex): New caching variable.
11831 (cfengine3-fallback-syntax): Fallback syntax for cases where
11832 cf-promises doesn't run.
11833 (cfengine3--current-word): Reimplement using
11834 `cfengine-mode-syntax-functions-regex'.
11835 (cfengine3-completion-function, cfengine3--current-function):
11836 Use `cfengine3-make-syntax-cache' directly.
11837 (cfengine3-clear-syntax-cache): New function.
11838 (cfengine3-make-syntax-cache): Simplify and create
11839 `cfengine-mode-syntax-functions-regex' on demand.
11840 (cfengine3-format-function-docstring): Don't call
11841 `cfengine3-make-syntax-cache' explicitly.
11843 2013-12-13 Martin Rudalics <rudalics@gmx.at>
11845 Fix windmove-find-other-window broken after pixelwise resizing
11847 * windmove.el (windmove-other-window-loc): Revert change from
11849 (windmove-find-other-window): Call window-in-direction.
11850 * window.el (window-in-direction): New arguments SIGN, WRAP and
11851 MINI to emulate original windmove-find-other-window behavior.
11853 2013-12-13 Dmitry Gutov <dgutov@yandex.ru>
11855 * simple.el (blink-matching--overlay): New variable.
11856 (blink-matching-open): Instead of moving point, highlight the
11857 matching paren with an overlay
11858 (http://lists.gnu.org/archive/html/emacs-devel/2013-12/msg00333.html).
11860 * faces.el (paren-showing-faces, show-paren-match)
11861 (show-paren-mismatch): Move from paren.el.
11863 2013-12-13 Leo Liu <sdl.web@gmail.com>
11865 * indent.el (indent-region): Disable progress reporter in
11866 minibuffer. (Bug#16108)
11868 * bindings.el (visual-order-cursor-movement): Fix version.
11870 2013-12-13 Fabián Ezequiel Gallina <fgallina@gnu.org>
11872 * progmodes/python.el (python-pdbtrack-stacktrace-info-regexp):
11873 Also match after beginning of line.
11874 (python-pdbtrack-set-tracked-buffer): Fix logic for remote
11875 files. Thanks to Russell Sim. (Bug#15378)
11877 2013-12-13 Juri Linkov <juri@jurta.org>
11879 * simple.el <Keypad support>: Remove key bindings duplicated
11880 with bindings.el. (Bug#14397)
11882 2013-12-13 Juri Linkov <juri@jurta.org>
11884 * comint.el (comint-mode-map): Replace `delete-char' with
11885 `delete-forward-char'. (Bug#16109)
11887 2013-12-12 Fabián Ezequiel Gallina <fgallina@gnu.org>
11889 * progmodes/python.el (python-indent-calculate-indentation):
11890 Fix de-denters cornercase. (Bug#15731)
11892 2013-12-12 Stefan Monnier <monnier@iro.umontreal.ca>
11894 * emacs-lisp/nadvice.el: Add `depth' property to manage ordering.
11895 (advice--make): Pay attention to `depth'.
11896 (advice--make-1): Don't autoload commands eagerly.
11897 * emacs-lisp/elp.el (elp-instrument-function):
11898 * emacs-lisp/trace.el (trace-function-internal):
11899 * emacs-lisp/debug.el (debug-on-entry): Keep them "first".
11901 * iswitchb.el (iswitchb-mode): Don't belittle ido.
11903 2013-12-12 Eli Zaretskii <eliz@gnu.org>
11905 * term/w32-win.el (w32-handle-dropped-file):
11906 * startup.el (normal-top-level):
11907 * net/browse-url.el (browse-url-file-url):
11908 * dnd.el (dnd-get-local-file-name): On MS-Windows, encode and
11909 decode file names using 'utf-8' rather than
11910 file-name-coding-system.
11912 2013-12-12 Fabián Ezequiel Gallina <fgallina@gnu.org>
11914 * progmodes/python.el (python-indent-context)
11915 (python-indent-calculate-indentation): Fix auto-identation
11916 behavior for comment blocks. (Bug#15916)
11918 2013-12-12 Nathan Trapuzzano <nbtrap@nbtrap.com> (tiny change)
11920 * progmodes/python.el (python-indent-calculate-indentation):
11921 When determining indentation, don't treat "return", "pass", etc., as
11922 operators when they are just string constituents. (Bug#15812)
11924 2013-12-12 Juri Linkov <juri@jurta.org>
11926 * uniquify.el (uniquify-buffer-name-style): Change default to
11927 `post-forward-angle-brackets'.
11929 * menu-bar.el (menu-bar-options-menu): Don't require preloaded
11930 `uniquify'. Change default to `post-forward-angle-brackets'.
11932 2013-12-11 Glenn Morris <rgm@gnu.org>
11934 * emacs-lisp/package.el (finder-list-matches):
11935 Autoload rather than falsely declaring.
11937 2013-12-11 Teodor Zlatanov <tzz@lifelogs.com>
11939 * net/eww.el (eww-exit, eww-close): Add UI convenience wrappers.
11940 (eww-mode-map): Use them.
11942 2013-12-11 Martin Rudalics <rudalics@gmx.at>
11944 * window.el (display-buffer-in-side-window): Fix doc-string
11947 2013-12-11 Juanma Barranquero <lekktu@gmail.com>
11949 * vc/vc-git.el: Silence byte-compiler warnings.
11950 (vc-git-dir-extra-headers): Rename arg _dir which is no longer ignored.
11951 (log-edit-set-header): Declare.
11953 2013-12-11 Eli Zaretskii <eliz@gnu.org>
11955 * Makefile.in (custom-deps, finder-data): Run output file names
11956 through unmsys--file-name. (Bug#16099)
11958 2013-12-11 Stefan Monnier <monnier@iro.umontreal.ca>
11960 * emacs-lisp/smie.el (smie-indent--hanging-p): Don't bother matching
11961 comment-start-skip, which fails when that uses submatch 1 (bug#16041).
11963 * emulation/cua-base.el (cua-paste): Add `delete-selection' property
11964 instead of deleting the selection "by hand" (bug#16098).
11965 Rely on insert-for-yank to yank rectangles.
11966 (cua-highlight-region-shift-only): Mark obsolete.
11967 (cua-mode): Don't enable/disable transient-mark-mode,
11968 shift-select-mode (cua-mode works both with and without them), and
11969 pc-selection-mode (obsolete).
11970 * emulation/cua-rect.el (cua--activate-rectangle): Activate the mark.
11971 (cua--deactivate-rectangle): Deactivate it.
11973 * delsel.el (delete-selection-mode): Don't enable transient-mark-mode.
11974 (delete-selection-helper): Make sure yank starts at the top of the
11976 (minibuffer-keyboard-quit): Use region-active-p.
11978 * emacs-lisp/trace.el (trace-make-advice): Don't deactivate the mark.
11980 * simple.el (normal-erase-is-backspace-mode): Map kp-delete identically
11981 to `delete' (bug#16109).
11983 2013-12-11 Rüdiger Sonderfeld <ruediger@c-plusplus.de>
11985 * progmodes/octave.el (octave-mode, inferior-octave-mode): Link to
11986 info manual and show keybindings and set `:group' keyword.
11988 2013-12-11 Juri Linkov <juri@jurta.org>
11990 * delsel.el (delete-active-region): Let-bind `this-command'
11991 to prevent `kill-region' from changing its original value.
11992 (delete-selection-helper): Handle `overwrite-mode' for the type
11993 `kill' exactly the same way as for the type `t'.
11994 (insert-char, quoted-insert, reindent-then-newline-and-indent):
11995 Support more commands. (Bug#13312)
11997 2013-12-11 Juri Linkov <juri@jurta.org>
11999 * bindings.el: Map kp keys to non-kp keys systematically
12000 with basic modifiers control, meta and shift. (Bug#14397)
12002 2013-12-11 Kenjiro NAKAYAMA <nakayamakenjiro@gmail.com>
12004 * net/eww.el (eww-mode-map): Instead of "Quit" show "Exit" and
12005 "Close browser" menu items. Fix wrong function of "List
12008 2013-12-11 Juri Linkov <juri@jurta.org>
12010 * misearch.el (multi-isearch-buffers): Set the value of
12011 `multi-isearch-buffer-list' globally. Set NO-RECURSIVE-EDIT
12012 arg of isearch-forward to t.
12013 (multi-isearch-buffers-regexp): Set the value of
12014 `multi-isearch-buffer-list' globally. Set NO-RECURSIVE-EDIT
12015 arg of isearch-forward-regexp to t.
12016 (multi-isearch-files): Set the value of
12017 `multi-isearch-file-list' globally. Set NO-RECURSIVE-EDIT
12018 arg of isearch-forward to t.
12019 (multi-isearch-files-regexp): Set the value of
12020 `multi-isearch-file-list' globally. Set NO-RECURSIVE-EDIT
12021 arg of isearch-forward-regexp to t. (Bug#16035)
12023 * dired-aux.el (dired-isearch-filenames): Set NO-RECURSIVE-EDIT
12024 arg of isearch-forward to t.
12025 (dired-isearch-filenames-regexp): Set NO-RECURSIVE-EDIT
12026 arg of isearch-forward-regexp to t.
12027 (dired-isearch-filter-filenames): Remove unnecessary check for
12028 `dired-isearch-filenames'.
12030 * comint.el (comint-history-isearch-backward):
12031 Set NO-RECURSIVE-EDIT arg of isearch-backward to t.
12032 (comint-history-isearch-backward-regexp):
12033 Set NO-RECURSIVE-EDIT arg of isearch-backward-regexp to t.
12035 2013-12-10 Eli Zaretskii <eliz@gnu.org>
12037 * Makefile.in (autoloads): Run $(srcdir)/loaddefs.el through
12038 unmsys--file-name. (Bug#16099)
12040 2013-12-10 Teodor Zlatanov <tzz@lifelogs.com>
12042 * emacs-lisp/package.el (package-keyword-button-action):
12043 Remove finder.el require dependency.
12045 2013-12-09 Teodor Zlatanov <tzz@lifelogs.com>
12047 * emacs-lisp/package.el: Require finder.el.
12048 (describe-package-1): Add keyword buttons.
12049 (package-make-button): New convenience function.
12050 (package-keyword-button-action): Keyword button action using
12051 `finder-list-matches'.
12053 2013-12-09 Eli Zaretskii <eliz@gnu.org>
12055 * autorevert.el (auto-revert-notify-add-watch): Fix a thinko in
12058 2013-12-09 Michael Albinus <michael.albinus@gmx.de>
12060 * autorevert.el (auto-revert-notify-add-watch): Do not handle
12063 2013-12-09 Dmitry Gutov <dgutov@yandex.ru>
12065 * progmodes/ruby-mode.el (ruby-smie--implicit-semi-p): Return t
12066 after the end of a percent literal.
12068 2013-12-09 Cameron Desautels <camdez@gmail.com> (tiny change)
12070 * progmodes/ruby-mode.el (ruby-forward-string): Document.
12071 Handle caret-delimited strings (Bug#16079).
12073 2013-12-09 Dmitry Gutov <dgutov@yandex.ru>
12075 * progmodes/ruby-mode.el (ruby-accurate-end-of-block):
12076 When `ruby-use-smie' is t, use `smie-forward-sexp' instead of
12077 `ruby-parse-partial' (Bug#16078).
12079 2013-12-09 Leo Liu <sdl.web@gmail.com>
12081 * subr.el (read-passwd): Disable show-paren-mode. (Bug#16091)
12083 2013-12-08 Dmitry Gutov <dgutov@yandex.ru>
12085 * progmodes/js.el (js-auto-indent-flag): Remove, was unused.
12086 (js-switch-indent-offset): New option.
12087 (js--proper-indentation): Use it. And handle the case when
12088 "default" is actually a key in an object literal.
12089 (js--same-line): New function.
12090 (js--multi-line-declaration-indentation): Use it.
12091 (js--indent-in-array-comp, js--array-comp-indentation):
12093 (js--proper-indentation): Use them, to handle array comprehension
12096 2013-12-08 Leo Liu <sdl.web@gmail.com>
12098 * progmodes/flymake.el (flymake-highlight-line): Re-write.
12099 (flymake-make-overlay): Remove arg MOUSE-FACE.
12100 (flymake-save-string-to-file, flymake-read-file-to-string): Remove.
12102 2013-12-08 Stefan Monnier <monnier@iro.umontreal.ca>
12104 * emulation/cua-rect.el (cua--rectangle-highlight-for-redisplay):
12106 (redisplay-highlight-region-function): Use it.
12108 * emulation/cua-base.el (cua--explicit-region-start)
12109 (cua--last-region-shifted): Remove.
12110 (cua--deactivate): Use deactivate-mark.
12111 (cua--pre-command-handler-1): Don't handle shift-selection.
12112 (cua--post-command-handler-1): Don't change transient-mark-mode.
12113 (cua--select-keymaps): Use region-active-p rather than
12114 cua--explicit-region-start or cua--last-region-shifted.
12115 (cua-mode): Enable shift-select-mode.
12117 2013-12-08 Leo Liu <sdl.web@gmail.com>
12119 * progmodes/flymake.el (flymake-popup-current-error-menu):
12120 Rename from flymake-display-err-menu-for-current-line. Reimplement.
12121 (flymake-posn-at-point-as-event, flymake-popup-menu)
12122 (flymake-make-emacs-menu): Remove. (Bug#16077)
12124 2013-12-08 Stefan Monnier <monnier@iro.umontreal.ca>
12126 * rect.el (rectangle-mark-mode): Activate mark even if
12127 transient-mark-mode is off (bug#16066).
12128 (rectangle--highlight-for-redisplay): Fix boundary condition when point
12129 is > mark and at bolp.
12131 * emulation/cua-rect.el (cua--rectangle-region-extract): New function.
12132 (region-extract-function): Use it.
12133 (cua-mouse-save-then-kill-rectangle): Use cua-copy-region.
12134 (cua-copy-rectangle, cua-cut-rectangle, cua-delete-rectangle):
12136 (cua--init-rectangles): Don't re-remap copy-region-as-kill,
12137 kill-ring-save, kill-region, delete-char, delete-forward-char.
12138 Ignore self-insert-iso.
12140 * emulation/cua-gmrk.el (cua--init-global-mark):
12141 Ignore `self-insert-iso'.
12143 * emulation/cua-base.el (cua--prefix-copy-handler)
12144 (cua--prefix-cut-handler): Rely on region-extract-function rather than
12145 checking cua--rectangle.
12146 (cua-delete-region): Use region-extract-function.
12147 (cua-replace-region): Delete function.
12148 (cua-copy-region, cua-cut-region): Obey region-extract-function.
12149 (cua--pre-command-handler-1): Don't do the delete-selection thing.
12150 (cua--self-insert-char-p): Ignore `self-insert-iso'.
12151 (cua--init-keymaps): Don't remap delete-selection commands.
12152 (cua-mode): Use delete-selection-mode instead of rolling our own
12155 * menu-bar.el (clipboard-kill-ring-save, clipboard-kill-region):
12156 Obey region-extract-function.
12158 Make registers and delete-selection-mode work on rectangles.
12159 * register.el (describe-register-1): Don't modify the register's value.
12160 (copy-to-register): Obey region-extract-function.
12161 * delsel.el (delete-active-region): Obey region-extract-function.
12163 2013-12-08 Leo Liu <sdl.web@gmail.com>
12165 * progmodes/flymake.el (flymake, flymake-error-bitmap)
12166 (flymake-warning-bitmap, flymake-fringe-indicator-position)
12167 (flymake-compilation-prevents-syntax-check)
12168 (flymake-start-syntax-check-on-newline)
12169 (flymake-no-changes-timeout, flymake-gui-warnings-enabled)
12170 (flymake-start-syntax-check-on-find-file, flymake-log-level)
12171 (flymake-xml-program, flymake-master-file-dirs)
12172 (flymake-master-file-count-limit)
12173 (flymake-allowed-file-name-masks): Relocate.
12174 (flymake-makehash, flymake-float-time)
12175 (flymake-replace-regexp-in-string, flymake-split-string)
12176 (flymake-get-temp-dir): Remove.
12177 (flymake-popup-menu, flymake-nop, flymake-make-xemacs-menu)
12178 (flymake-current-row, flymake-selected-frame)
12179 (flymake-get-point-pixel-pos): Remove xemacs compatibity and
12180 related functions. (Bug#16077)
12182 2013-12-07 Bozhidar Batsov <bozhidar@batsov.com>
12184 * emacs-lisp/helpers.el (string-blank-p): Use `string-match-p'.
12186 2013-12-07 Tassilo Horn <tsdh@gnu.org>
12188 * help-fns.el (describe-function-1): Use new advice-* functions
12189 rather than old ad-* functions. Fix function type description and
12190 source links for advised functions and subrs.
12192 2013-12-07 Lars Magne Ingebrigtsen <larsi@gnus.org>
12194 * net/shr.el (shr-tag-img): Don't bug out on <img src=""> data.
12196 2013-12-06 Michael Albinus <michael.albinus@gmx.de>
12198 * progmodes/compile.el (compilation-start):
12199 * progmodes/grep.el (rgrep): Revert change 2012-12-20T11:15:38Z!michael.albinus@gmx.de.
12201 * net/tramp-sh.el (tramp-sh-handle-start-file-process):
12202 Handle long command lines, lasting from "sh -c ...". (Bug#16045)
12204 2013-12-06 Dmitry Gutov <dgutov@yandex.ru>
12206 * progmodes/ruby-mode.el (ruby-syntax-propertize-function):
12207 Touch up the last change.
12209 2013-12-06 Leo Liu <sdl.web@gmail.com>
12211 * progmodes/octave.el (inferior-octave-prompt): Use shy groups.
12212 (inferior-octave-startup): Always use "octave> " for prompt.
12213 (octave-goto-function-definition)
12214 (octave-sync-function-file-names)
12215 (octave-find-definition-default-filename): Remove redundant backquotes.
12217 2013-12-06 Dmitry Gutov <dgutov@yandex.ru>
12219 * progmodes/ruby-mode.el (ruby-mode-syntax-table): Don't modify
12221 (ruby-expr-beg): Expect that `!' will have syntax class "symbol"
12222 where appropriate already.
12223 (ruby-syntax-propertize-function): Propertize `?' and `!' at the
12224 end of method names (Bug#15874).
12226 2013-12-06 Juri Linkov <juri@jurta.org>
12228 * isearch.el (isearch--saved-overriding-local-map):
12229 New internal variable.
12230 (isearch-mode): Set it to the initial value of
12231 `overriding-terminal-local-map'.
12232 (isearch-pre-command-hook): Compare `overriding-terminal-local-map'
12233 with `isearch--saved-overriding-local-map'. (Bug#16035)
12235 2013-12-06 Dmitry Gutov <dgutov@yandex.ru>
12237 * progmodes/octave.el (inferior-octave-completion-table):
12238 Turn back into function, use `completion-table-with-cache'
12239 (Bug#11906). Update all references.
12241 * minibuffer.el (completion-table-with-cache): New function.
12243 2013-12-05 Cameron Desautels <camdez@gmail.com> (tiny change)
12245 * emacs-lisp/regexp-opt.el (regexp-opt-charset): Fix ^ (bug#16046).
12247 2013-12-05 Teodor Zlatanov <tzz@lifelogs.com>
12249 * net/eww.el (eww-current-source): New variable to store page
12251 (eww-display-html, eww-mode, eww-save-history)
12252 (eww-restore-history): Use it.
12253 (eww-view-source): New command to view page source.
12254 Opportunistically uses `html-mode' to highlight the buffer.
12255 (eww-mode-map): Install it.
12257 2013-12-05 Michael Albinus <michael.albinus@gmx.de>
12259 * net/dbus.el (dbus-unregister-service)
12260 (dbus-escape-as-identifier, dbus-unescape-from-identifier):
12262 (dbus-unregister-service): Skip :serial entries in
12263 `dbus-registered-objects-table'.
12264 (dbus-byte-array-to-string): New optional arg MULTIBYTE.
12266 2013-12-04 Teodor Zlatanov <tzz@lifelogs.com>
12268 * emacs-lisp/lisp-mnt.el (lm-keywords-list): Trim whitespace
12269 around keywords with extra `split-string' argument.
12271 2013-12-04 Martin Rudalics <rudalics@gmx.at>
12273 * windmove.el (windmove-other-window-loc): Handle navigation
12274 between windows (excluding the minibuffer window - Bug#16017).
12276 2013-12-04 Michael Albinus <michael.albinus@gmx.de>
12278 * net/dbus.el (dbus-byte-array-to-string): Accept also byte arrays
12279 in D-Bus type syntax.
12280 (dbus-unescape-from-identifier): Use `byte-to-string' in order to
12281 preserve unibyte strings. (Bug#16048)
12283 2013-12-04 Stefan Monnier <monnier@iro.umontreal.ca>
12285 * emacs-lisp/eldoc.el (eldoc-minibuffer-message):
12286 Call force-mode-line-update is the proper buffer (bug#16042).
12288 2013-12-04 Dmitry Gutov <dgutov@yandex.ru>
12290 * vc/log-edit.el (log-edit-add-new-comment): Rename to
12291 `log-edit-remember-comment', make argument optional. Adjust all
12293 (log-edit-mode): Add `log-edit-remember-comment' to
12294 `kill-buffer-hook' locally.
12295 (log-edit-kill-buffer): Don't remember comment explicitly since
12296 the buffer is killed anyway.
12298 2013-12-04 Juri Linkov <juri@jurta.org>
12300 * isearch.el (isearch-mode, isearch-done): Don't set arg LOCAL in
12301 add-hook and remove-hook for multi-buffer search. (Bug#16035)
12303 2013-12-03 Tom Regner <tom@goochesa.de> (tiny change)
12305 * notifications.el (notifications-close-notification): Call the
12306 D-Bus method with ID being a `:uint32'. (Bug#16030)
12308 2013-12-03 Katsumi Yamaoka <yamaoka@jpl.org>
12310 * net/eww.el (eww-render): Don't pass arg to eww-display-image.
12312 2013-12-03 Juri Linkov <juri@jurta.org>
12314 * progmodes/compile.el (compilation-start): Rename window alist
12315 entry `no-display-ok' to `allow-no-window'.
12317 * simple.el (shell-command): Add window alist entry
12318 `allow-no-window' to `display-buffer'.
12319 (async-shell-command): Doc fix.
12321 * window.el (display-buffer-no-window): New action function.
12322 (display-buffer-alist, display-buffer): Doc fix. (Bug#13594)
12324 2013-12-02 Dmitry Gutov <dgutov@yandex.ru>
12326 * vc/log-edit.el (log-edit-set-header): Extract from
12327 `log-edit-toggle-header'.
12328 (log-edit-extract-headers): Separate the summary, when extracted
12329 from header, from the rest of the message with an empty line.
12331 * vc/vc-git.el (vc-git-log-edit-toggle-amend): Move the summary
12332 line, if present, to the Summary header.
12334 2013-12-02 Stefan Monnier <monnier@iro.umontreal.ca>
12336 * epa-file.el (epa-file-insert-file-contents): Ensure we insert text
12337 in current-buffer (bug#16029).
12339 2013-12-02 Helmut Eller <eller.helmut@gmail.com>
12341 * emacs-lisp/debug.el (debugger-toggle-locals): New command.
12342 (debugger-mode-map): Bind it.
12343 (debugger--backtrace-base): New function.
12344 (debugger-eval-expression): Use it.
12345 (debugger-frame-number): Skip local vars when present.
12346 (debugger--locals-visible-p, debugger--insert-locals)
12347 (debugger--show-locals, debugger--hide-locals): New functions.
12349 2013-12-02 Michael Albinus <michael.albinus@gmx.de>
12351 * net/tramp-sh.el (tramp-remote-process-environment): Do not set
12353 (tramp-get-remote-locale): New defun.
12354 (tramp-open-connection-setup-interactive-shell): Use it.
12356 2013-12-02 Leo Liu <sdl.web@gmail.com>
12358 * subr.el (process-live-p): Return nil for non-process. (Bug#16023)
12360 * progmodes/sh-script.el (sh-shell-process):
12361 * progmodes/octave.el (inferior-octave-process-live-p):
12362 * progmodes/gdb-mi.el (gdb-delchar-or-quit)
12363 (gdb-inferior-io-sentinel):
12364 * emacs-lock.el (emacs-lock-live-process-p): All uses changed.
12366 2013-12-02 Dmitry Gutov <dgutov@yandex.ru>
12368 * vc/log-edit.el (log-edit-kill-buffer): Move the use of
12369 `save-selected-window' to `log-edit-hide-buf'. This makes
12370 `log-edit-show-files' idempotent.
12371 (log-edit-show-files): Mark the new window as dedicated.
12373 2013-12-02 Dmitry Gutov <dgutov@yandex.ru>
12375 * vc/log-edit.el (log-edit-mode-map): Add binding for
12376 `log-edit-kill-biffer'.
12377 (log-edit-hide-buf): Add a FIXME comment.
12378 (log-edit-add-new-comment): New function, extracted from
12380 (log-edit-done, log-edit-add-to-changelog): Use it.
12381 (log-edit-kill-buffer): New command.
12383 2013-12-01 Lars Magne Ingebrigtsen <larsi@gnus.org>
12385 * net/eww.el (eww-mode-map): Have `q' do a normal `quit-window'
12386 instead of killing the buffer.
12388 2013-12-01 Stefan Monnier <monnier@iro.umontreal.ca>
12390 * simple.el (newline): Mention `electric-indent-mode' (bug#16015).
12392 2013-12-01 Rüdiger Sonderfeld <ruediger@c-plusplus.de>
12394 * net/eww.el (eww-form-checkbox-selected-symbol)
12395 (eww-form-checkbox-symbol): New customizable variable.
12396 (eww-form-checkbox, eww-toggle-checkbox):
12397 Use `eww-form-checkbox-selected-symbol' and `eww-form-checkbox-symbol'.
12399 * net/shr.el (shr-prefer-media-type-alist): New customizable variable.
12400 (shr--get-media-pref, shr--extract-best-source): New function.
12401 (shr-tag-video, shr-tag-audio): Use `shr--extract-best-source' when
12402 no :src tag was specified.
12404 * net/eww.el (eww-use-external-browser-for-content-type): New variable.
12405 (eww-render): Handle `eww-use-external-browser-for-content-type'.
12406 Use \\` to match beginning of string instead of ^.
12407 (eww-browse-with-external-browser): Provide optional URL parameter.
12408 (eww-render): Set `eww-current-title' back to "".
12410 * net/shr.el (shr-tag-video): Display content for video if no
12411 poster is available.
12412 (shr-tag-audio): Add support for <audio> tag.
12414 * net/eww.el (eww-text-input-types): New const.
12415 (eww-process-text-input): Treat input types in
12416 `eww-text-input-types' as text.
12418 * net/shr.el (shr-tag-table): Fix comment typo.
12420 2013-12-01 Lars Magne Ingebrigtsen <larsi@gnus.org>
12422 * net/eww.el (eww-follow-link): New command to avoid reloading
12423 pages when we follow #target links (bug#15243).
12424 (eww-quit): Special mode buffers shouldn't query before exiting.
12426 2013-12-01 Kenjiro NAKAYAMA <nakayamakenjiro@gmail.com>
12428 * net/eww.el (eww-tag-select): Support <optgroup> tags in <select>
12431 2013-12-01 Lars Magne Ingebrigtsen <larsi@gnus.org>
12433 * net/eww.el (eww-restore-history): Update the window title after
12434 moving in the history.
12435 (eww-current-dom): New variable used to save the current DOM.
12437 2013-12-01 Dmitry Gutov <dgutov@yandex.ru>
12439 * vc/log-edit.el (log-edit-mode-map): Add binding for
12440 `log-edit-beginning-of-line'.
12441 (log-edit-setup-add-author): New user option.
12442 (log-edit-beginning-of-line): New command.
12443 (log-edit): Move major mode call above the contents setup so that
12444 the local variable values are already applied.
12445 (log-edit): Only insert "Author: " when
12446 `log-edit-setup-add-author' is non-nil.
12447 (log-edit): When SETUP is non-nil, position point after ": "
12448 instead of point-min.
12450 2013-12-01 Glenn Morris <rgm@gnu.org>
12452 * startup.el (command-line): Warn if ~/emacs.d is in load-path.
12454 2013-11-30 Eli Zaretskii <eliz@gnu.org>
12456 * startup.el (fancy-splash-frame): On MS-Windows, trigger
12457 redisplay to make sure the initial frame gets a chance to become
12458 visible. (Bug#16014)
12460 2013-11-30 Martin Rudalics <rudalics@gmx.at>
12462 Support resizing frames and windows pixelwise.
12463 * cus-start.el (frame-resize-pixelwise)
12464 (window-resize-pixelwise): New entries.
12465 * emacs-lisp/debug.el (debug): Use window-total-height instead
12466 of window-total-size.
12467 * frame.el (tool-bar-lines-needed): Defalias to tool-bar-height.
12468 * help.el (describe-bindings-internal): Use help-buffer as
12469 argument for with-help-window.
12470 (temp-buffer-max-width): New option.
12471 (resize-temp-buffer-window, help-window-setup)
12472 (with-help-window): Rewrite.
12473 * mouse.el (mouse-drag-line): Rewrite. Add key bindings for
12475 * window.el (frame-char-size, window-min-pixel-height)
12476 (window-safe-min-pixel-height, window-safe-min-pixel-width)
12477 (window-min-pixel-width, window-safe-min-pixel-size)
12478 (window-combination-p, window-safe-min-size)
12479 (window-resizable-p, window--size-to-pixel)
12480 (window--pixel-to-size, window--resize-apply-p): New functions.
12481 (window-safe-min-height): Fix doc-string.
12482 (window-size, window-min-size, window--min-size-1)
12483 (window-sizable, window-sizable-p, window--min-delta-1)
12484 (window-min-delta, window--max-delta-1, window-max-delta)
12485 (window--resizable, window--resizable-p, window-resizable)
12486 (window-full-height-p, window-full-width-p, window-at-side-p)
12487 (window--in-direction-2, window-in-direction)
12488 (window--resize-reset-1, window--resize-mini-window)
12489 (window-resize, window-resize-no-error)
12490 (window--resize-child-windows-normal)
12491 (window--resize-child-windows, window--resize-siblings)
12492 (window--resize-this-window, window--resize-root-window)
12493 (window--resize-root-window-vertically)
12494 (adjust-window-trailing-edge, enlarge-window, shrink-window)
12495 (maximize-window, minimize-window, delete-window)
12496 (quit-restore-window, window-split-min-size, split-window)
12497 (balance-windows-2, balance-windows)
12498 (balance-windows-area-adjust, balance-windows-area)
12499 (window--state-get-1, window-state-get, window--state-put-1)
12500 (window--state-put-2, window-state-put)
12501 (display-buffer-record-window, window--display-buffer):
12502 Make functions handle pixelwise sizing of windows.
12503 (display-buffer--action-function-custom-type)
12504 (display-buffer-fallback-action):
12505 Add display-buffer-in-previous-window.
12506 (display-buffer-use-some-window): Resize window to height it had
12508 (fit-window-to-buffer-horizontally): New option.
12509 (fit-frame-to-buffer): Describe new values.
12510 (fit-frame-to-buffer-bottom-margin): Replace with
12511 fit-frame-to-buffer-margins.
12512 (window--sanitize-margin): New function.
12513 (fit-frame-to-buffer, fit-window-to-buffer): Rewrite completely
12514 using window-text-pixel-size.
12516 2013-11-30 Glenn Morris <rgm@gnu.org>
12518 * emacs-lisp/bytecomp.el (byte-compile-form):
12519 Make the `interactive-only' warning like the `obsolete' one.
12520 * comint.el (comint-run):
12521 * files.el (insert-file-literally, insert-file):
12522 * replace.el (replace-string, replace-regexp):
12523 * simple.el (beginning-of-buffer, end-of-buffer, delete-backward-char)
12524 (goto-line, insert-buffer, next-line, previous-line):
12525 Tweak `interactive-only' spec.
12527 Stop keeping (most) generated cedet grammar files in the repository.
12528 * Makefile.in (semantic): New.
12529 (compile-main): Depend on semantic.
12531 2013-11-29 Stefan Monnier <monnier@iro.umontreal.ca>
12533 * net/newst-reader.el (newsticker-html-renderer): Default to SHR if
12534 available. Suggested by Clément B. <barthele1u@etu.univ-lorraine.fr>.
12536 * uniquify.el (uniquify-buffer-name-style): Change default.
12538 * loadup.el: Preload "uniquify".
12540 * time.el (display-time-update): Update all mode lines (bug#15999).
12542 * electric.el (electric-indent-mode): Enable by default.
12543 * loadup.el: Preload "electric".
12545 2013-11-29 Bozhidar Batsov <bozhidar@batsov.com>
12547 * emacs-lisp/helpers.el (string-empty-p): New function.
12548 (string-blank-p): New function.
12550 2013-11-29 Andreas Politz <politza@hochschule-trier.de>
12552 * imenu.el (imenu--index-alist): Add missing dot to the docstring
12555 2013-11-29 Andreas Politz <politza@fh-trier.de>
12556 * imenu.el (imenu--subalist-p): Don't error on non-conses and
12557 allow non-lambda lists as functions.
12558 (imenu--in-alist): Don't recurse into non-subalists.
12559 (imenu): Don't pass function itself as an argument (Bug#14029).
12561 2013-11-29 Stefan Monnier <monnier@iro.umontreal.ca>
12563 * progmodes/python.el (python-mode-map): Remove binding for ":".
12564 (python-indent-electric-colon): Remove command.
12565 (python-indent-post-self-insert-function): Integrate the previous code
12566 of python-indent-electric-colon. Make it conditional on
12567 electric-indent-mode.
12568 (python-mode): Add ?: to electric-indent-chars.
12569 Move python-indent-post-self-insert-function to the end of
12570 post-self-insert-hook.
12572 2013-11-28 Stefan Monnier <monnier@iro.umontreal.ca>
12574 * doc-view.el (doc-view-goto-page): Update mode-line.
12576 * vc/vc-dispatcher.el (vc-log-edit): Setup the Summary&Author headers.
12578 2013-11-27 Glenn Morris <rgm@gnu.org>
12580 * international/charprop.el, international/uni-bidi.el:
12581 * international/uni-category.el, international/uni-combining.el:
12582 * international/uni-comment.el, international/uni-decimal.el:
12583 * international/uni-decomposition.el, international/uni-digit.el:
12584 * international/uni-lowercase.el, international/uni-mirrored.el:
12585 * international/uni-name.el, international/uni-numeric.el:
12586 * international/uni-old-name.el, international/uni-titlecase.el:
12587 * international/uni-uppercase.el:
12588 Remove generated files from VCS repository.
12590 2013-11-27 Eli Zaretskii <eliz@gnu.org>
12592 * filenotify.el (file-notify-add-watch): Don't special-case
12593 w32notify when computing the directory to watch.
12595 2013-11-27 Glenn Morris <rgm@gnu.org>
12597 Make bootstrap without generated uni-*.el files possible again.
12598 * loadup.el: Update command-line-args checking for unidata-gen.
12599 Add vc to load-path to allow loading vc-bzr when writing uni-*.el.
12600 * composite.el, international/characters.el:
12601 Handle unicode tables being undefined.
12603 Move ja-dic, quail, leim-list.el from ../leim to a leim subdirectory.
12604 * Makefile.in (setwins_for_subdirs): Skip leim/ directory.
12605 (compile-main): Depend on leim rule.
12607 * loadup.el: Move leim-list.el to leim/ subdirectory.
12608 * startup.el (normal-top-level): No more leim directory.
12609 * international/ja-dic-cnv.el (skkdic-convert):
12610 Disable version-control and autoloads in output files.
12611 * international/titdic-cnv.el (titdic-convert, miscdic-convert):
12612 Disable version-control and autoloads in output files.
12613 * leim/quail: Move here from ../leim.
12614 * leim/quail/hangul.el (hangul-input-method-activate):
12615 Add autoload cookie.
12616 (generated-autoload-load-name): Set file-local value.
12617 * leim/quail/uni-input.el (ucs-input-activate): Add autoload cookie.
12618 (generated-autoload-load-name): Set file-local value.
12620 2013-11-26 Kenjiro NAKAYAMA <knakayam@redhat.com>
12622 * net/eww.el (eww-bookmark-browse): Use 'eww-browse-url'.
12623 (eww-add-bookmark): Ask confirmation when add to bookmarks.
12624 (eww-quit): Ask confirmation before quitting eww.
12626 2013-11-26 Eli Zaretskii <eliz@gnu.org>
12628 * vc/vc.el (vc-diff-internal): Use *-dos coding-system when
12629 reading output from Diff on MS-Windows and MS-DOS.
12631 2013-11-26 Bozhidar Batsov <bozhidar@batsov.com>
12633 * emacs-lisp/helpers.el (string-reverse): New function.
12635 2013-11-26 Michael Albinus <michael.albinus@gmx.de>
12637 * net/tramp.el (tramp-file-name-regexp-unified): Support IPv6 host
12638 names on MS Windows, like "/[::1]:".
12640 * net/tramp-sh.el (tramp-sh-handle-insert-directory): Accept nil
12643 2013-11-26 Glenn Morris <rgm@gnu.org>
12645 * progmodes/python.el (python-indent-guess-indent-offset):
12646 Avoid corner-case error. (Bug#15975)
12648 Preload leim-list.el. (Bug#4789)
12649 * loadup.el: Load leim-list.el when found.
12650 * startup.el (normal-top-level): Skip re-loading leim/leim-list.el.
12652 2013-11-25 Bozhidar Batsov <bozhidar@batsov.com>
12654 * emacs-lisp/bytecomp.el (byte-compile-form): Fix a typo.
12656 * emacs-lisp/helpers.el (string-join): New function.
12658 2013-11-25 Sebastian Wiesner <lunaryorn@gmail.com> (tiny change)
12660 * emacs-lisp/bytecomp.el (byte-compile-interactive-only-functions):
12661 Mark as obsolete and replace it with a symbol property.
12662 (byte-compile-form): Use new 'interactive-only property.
12663 * comint.el, files.el, replace.el, simple.el:
12664 Apply new 'interactive-only properly.
12666 2013-11-25 Martin Rudalics <rudalics@gmx.at>
12668 * window.el (display-buffer-at-bottom): Make sure that
12669 split-window-sensibly creates the new window on bottom
12672 2013-11-23 David Kastrup <dak@gnu.org>
12674 * vc/smerge-mode.el (smerge-ediff): Choose default buffer names based
12675 on the conflict markers when available.
12676 (smerge--get-marker): New function.
12677 (smerge-end-re, smerge-base-re): Add subgroup.
12679 2013-11-25 Stefan Monnier <monnier@iro.umontreal.ca>
12681 * frame.el (handle-focus-in, handle-focus-out): Add missing
12684 2013-11-25 Michael Albinus <michael.albinus@gmx.de>
12686 * net/tramp-cmds.el (tramp-cleanup-connection): Clean up
12687 `tramp-current-connection' only when KEEP-PASSWORD is non-nil.
12689 2013-11-25 Stefan Monnier <monnier@iro.umontreal.ca>
12691 * play/gomoku.el: Don't use intangible property. Use lexical-binding.
12692 (gomoku--last-pos): New var.
12693 (gomoku--intangible-chars): New const.
12694 (gomoku--intangible): New function.
12695 (gomoku-mode): Use it. Derive from special-mode.
12696 (gomoku-move-up): Adjust line count.
12697 (gomoku-click, gomoku-point-y, gomoku-point-square, gomoku-goto-xy)
12698 (gomoku-plot-square, gomoku-init-display, gomoku-cross-qtuple):
12699 Simplify accordingly.
12701 * frame.el (handle-focus-in, handle-focus-out): Move from frame.c.
12702 Remove blink-cursor code.
12703 (blink-cursor-timer-function, blink-cursor-suspend):
12704 Don't special-case GUIs.
12705 (blink-cursor-mode): Use focus-in/out-hook.
12707 2013-11-25 Dmitry Gutov <dgutov@yandex.ru>
12709 * vc/vc-git.el (vc-git-annotate-extract-revision-at-line): Make it
12710 work when annotation is invisible (Bug#13886).
12712 2013-11-24 Simon Schubert <2@0x2c.org> (tiny change)
12714 * json.el (json-alist-p): Only return non-nil if the alist has
12715 simple keys (Bug#13518).
12717 2013-11-24 Mihir Rege <mihirrege@gmail.com> (tiny change)
12719 * progmodes/js.el (js--ctrl-statement-indentation): Fix indent
12720 when control-statement is the first statement in a buffer (Bug#15956).
12722 2013-11-24 Dmitry Gutov <dgutov@yandex.ru>
12724 * imenu.el (imenu-generic-skip-comments-and-strings):
12725 New option (Bug#15560).
12726 (imenu--generic-function): Use it.
12728 2013-11-24 Jorgen Schaefer <contact@jorgenschaefer.de>
12730 * minibuffer.el (completion--in-region-1): Scroll the correct window.
12733 2013-11-24 Bozhidar Batsov <bozhidar@batsov.com>
12735 * emacs-lisp/helpers.el: Add some string helpers.
12736 (string-trim-left): Removes leading whitespace.
12737 (string-trim-right): Removes trailing whitespace.
12738 (string-trim): Removes leading and trailing whitespace.
12740 * subr.el (string-suffix-p): New function.
12742 2013-11-23 Glenn Morris <rgm@gnu.org>
12744 * progmodes/python.el (python-shell-send-file):
12745 Add option to delete file when done. (Bug#15647)
12746 (python-shell-send-string, python-shell-send-region): Use it.
12748 2013-11-23 Ivan Shmakov <ivan@siamics.net>
12750 * vc/diff-mode.el (diff-mode): Only allow diff-default-read-only
12751 to set buffer-read-only to t, never to nil. (Bug#15938)
12753 * textmodes/tex-mode.el (latex-noindent-environments):
12754 Add safe-local-variable property. (Bug#15936)
12756 2013-11-23 Glenn Morris <rgm@gnu.org>
12758 * textmodes/enriched.el (enriched-mode): Doc fix.
12759 * emacs-lisp/authors.el (authors-renamed-files-alist):
12760 Add enriched.doc -> enriched.txt.
12762 * Makefile.in (emacs): Empty EMACSLOADPATH rather than unsetting.
12764 2013-11-22 Leo Liu <sdl.web@gmail.com>
12766 * progmodes/octave.el (inferior-octave-startup): Spit out error
12769 2013-11-22 Bozhidar Batsov <bozhidar@batsov.com>
12771 * progmodes/ruby-mode.el (ruby-custom-encoding-magic-comment-template):
12774 (ruby-encoding-magic-comment-style): Add :version.
12776 2013-11-22 Leo Liu <sdl.web@gmail.com>
12778 * progmodes/octave.el (octave-operator-regexp): Exclude newline.
12780 (octave-help-mode): Adapt to change to help-mode-finish to use
12781 derived-mode-p on 2013-09-17.
12782 (inferior-octave-prompt): Also match octave-gui.
12783 (octave-kill-process): Don't ask twice. (Bug#10564)
12785 2013-11-22 Leo Liu <sdl.web@gmail.com>
12787 * progmodes/octave.el (inferior-octave-process-live-p): New helper.
12788 (inferior-octave-startup, inferior-octave-check-process)
12789 (inferior-octave-track-window-width-change)
12790 (octave-completion-at-point, octave-eldoc-function): Use it.
12791 (octave-kill-process): Provide confirmation. (Bug#10564)
12793 2013-11-21 Leo Liu <sdl.web@gmail.com>
12795 * progmodes/octave.el (octave-mode, inferior-octave-mode):
12796 Fix obsolete variable comment-use-global-state.
12798 2013-11-21 Rüdiger Sonderfeld <ruediger@c-plusplus.de>
12800 * progmodes/octave.el (octave-mode-map, octave-mode-menu):
12801 Add `octave-source-file'.
12802 (octave-source-file): New function. (Bug#15935)
12804 2013-11-21 Kenjiro Nakayama <nakayamakenjiro@gmail.com>
12806 * net/eww.el (eww-local-regex): New variable.
12807 (eww): Use it to detect localhost and similar.
12809 2013-11-21 Leo Liu <sdl.web@gmail.com>
12811 Add completion for command `ag'.
12812 * pcmpl-x.el (pcmpl-x-ag-options): New variable.
12813 (pcomplete/ag): New function.
12814 (pcmpl-x-ag-options): New function. Handle `[no]' in long options.
12816 2013-11-21 Stefan Monnier <monnier@iro.umontreal.ca>
12818 * emacs-lisp/byte-run.el (eval-when-compile): Fix edebug spec
12820 (make-obsolete): Remove interactive spec.
12822 2013-11-21 Glenn Morris <rgm@gnu.org>
12824 * startup.el (command-line-1): Use path-separator with -L.
12826 2013-11-20 Teodor Zlatanov <tzz@lifelogs.com>
12828 * emacs-lisp/package.el (describe-package-1): Add package archive
12831 2013-11-20 Bozhidar Batsov <bozhidar@batsov.com>
12833 * progmodes/ruby-mode.el (ruby-custom-encoding-magic-comment-template):
12834 Change default to "# encoding: %s" to differentiate it from the
12835 default Ruby encoding comment template.
12837 2013-11-20 era eriksson <era+emacsbugs@iki.fi>
12839 * ses.el (ses-mode): Doc fix. (Bug#14748)
12841 2013-11-20 Leo Liu <sdl.web@gmail.com>
12843 * window.el (display-buffer-alist): Doc fix. (Bug#13594)
12845 2013-11-19 Dan Nicolaescu <dann@gnu.org>
12847 * vc/vc-git.el (vc-git-dir-extra-headers): Add headers
12848 when rebase or bisect are in progress.
12850 2013-11-19 Xue Fuqiao <xfq.free@gmail.com>
12852 * filenotify.el (file-notify-add-watch): Doc fix.
12854 2013-11-19 Leo Liu <sdl.web@gmail.com>
12856 * obsolete/rcompile.el: Mark obsolete.
12858 * progmodes/compile.el (compilation-start)
12859 (compilation-goto-locus, compilation-find-file):
12860 Pass no-display-ok and handle nil value from display-buffer.
12863 * window.el (display-buffer-alist, display-buffer): Document the
12864 new parameter no-display-ok. Return either a window or nil
12865 but never a non-window value.
12867 2013-11-18 Stefan Monnier <monnier@iro.umontreal.ca>
12869 * electric.el (electric-indent-mode-map): Remove.
12870 (electric-indent-mode): Change the global-map instead (bug#15915).
12872 * textmodes/text-mode.el (paragraph-indent-minor-mode):
12875 2013-11-17 Stefan Monnier <monnier@iro.umontreal.ca>
12877 * emacs-lisp/nadvice.el (remove-function): Align with
12878 add-function's behavior.
12880 * progmodes/gdb-mi.el: Avoid backtracking in regexp matcher.
12881 (gdb--string-regexp): New constant.
12882 (gdb-tooltip-print, gdb-var-evaluate-expression-handler)
12883 (gdbmi-bnf-stream-record, gdb-jsonify-buffer): Use it.
12884 (gdb-source-file-regexp, gdb-prompt-name-regexp): Use it and change
12886 (gdb-get-source-file-list, gdb-get-prompt, gdb-get-source-file):
12887 Adjust use accordingly.
12888 (gdb-breakpoints-list-handler-custom): Pre-build the y/n string.
12890 2013-11-17 Adam Sokolnicki <adam.sokolnicki@gmail.com> (tiny change)
12892 * progmodes/ruby-mode.el (ruby-toggle-block): Don't stop at
12893 interpolation curlies (Bug#15914).
12895 2013-11-17 Jay Belanger <jay.p.belanger@gmail.com>
12897 * calc/calc.el (calc-context-sensitive-enter): New variable.
12898 (calc-enter): Use `calc-context-sensitive-enter'.
12900 2013-11-16 Teodor Zlatanov <tzz@lifelogs.com>
12902 * progmodes/cfengine.el: Version bump.
12903 (cfengine-cf-promises): New defcustom to locate cf-promises.
12904 (cfengine3-vartypes): Add new "data" type.
12905 (cfengine3--current-word): New function to get current name-like
12906 word or its bounds.
12907 (cfengine3--current-function): New function to look up a CFEngine
12908 function's definition.
12909 (cfengine3-format-function-docstring): New function.
12910 (cfengine3-make-syntax-cache): New function.
12911 (cfengine3-documentation-function): New function: ElDoc glue.
12912 (cfengine3-completion-function): New function: completion glue.
12913 (cfengine3-mode): Set `compile-command',
12914 `eldoc-documentation-function', and add to
12915 `completion-at-point-functions'.
12917 2013-11-16 Michael Albinus <michael.albinus@gmx.de>
12919 * net/tramp-cmds.el (tramp-cleanup-connection): Clean up
12920 `tramp-current-connection'.
12922 2013-11-15 Dmitry Gutov <dgutov@yandex.ru>
12924 * progmodes/ruby-mode.el (ruby-font-lock-keywords): End regexp for
12925 nil/self/true/false with "end of symbol".
12927 2013-11-15 Bozhidar Batsov <bozhidar@batsov.com>
12929 * subr.el (version-regexp-alist): Fix a typo.
12931 2013-11-15 Michael Albinus <michael.albinus@gmx.de>
12933 * net/tramp-sh.el (tramp-remote-process-environment): Set "LC_ALL" to
12934 "en_US.utf8" and "LC_CTYPE" to "".
12935 (tramp-maybe-open-connection): Set "LC_ALL" to "en_US.utf8".
12936 (tramp-sh-handle-insert-directory): Don't set "LC_ALL" and "LC_CTYPE".
12938 2013-11-15 Leo Liu <sdl.web@gmail.com>
12940 * loadhist.el (read-feature): Get rid of fake feature nil. (Bug#15889)
12942 2013-11-14 Stefan Monnier <monnier@iro.umontreal.ca>
12944 * progmodes/gud.el (ctl-x-map):
12945 Remove C-x SPC binding. (Bug#12342)
12946 (gud-jdb-find-source-using-classpath): Remove ((lambda (..)..)..).
12948 2013-11-14 Bozhidar Batsov <bozhidar@batsov.com>
12950 * subr.el (version-regexp-alist):
12951 Recognize hg, svn and darcs versions as snapshot versions.
12953 * progmodes/ruby-mode.el (ruby--detect-encoding): Make aware of
12954 'always-utf8 value of `ruby-insert-encoding-magic-comment'.
12955 (ruby--encoding-comment-required-p): Extract from
12956 `ruby-mode-set-encoding'.
12957 (ruby-mode-set-encoding): Add the ability to always insert an
12958 utf-8 encoding comment. Fix and simplify coding comment update
12961 2013-11-14 Michael Albinus <michael.albinus@gmx.de>
12963 * net/tramp-gvfs.el (top): Run init code only when
12964 `tramp-gvfs-enabled' is not nil.
12965 (tramp-gvfs-enabled): Check also :system bus.
12967 2013-11-14 Stefan Monnier <monnier@iro.umontreal.ca>
12969 Sync with upstream verilog-mode revision 78e66ba.
12970 * progmodes/verilog-mode.el (verilog-end-of-defun)
12971 (verilog-type-completion, verilog-get-list): Remove unused funcs.
12972 (verilog-get-end-of-defun): Remove unused argument.
12973 (verilog-comment-depth): Remove unused local `e'.
12974 (verilog-read-decls, verilog-read-sub-decls, verilog-read-instants):
12975 Don't pass arg to verilog-get-end-of-defun.
12977 2013-11-14 Glenn Morris <rgm@gnu.org>
12979 * obsolete/assoc.el (aget): Prefix dynamic variable.
12981 * allout-widgets.el (allout-widgets): No need to autoload defgroup.
12983 2013-11-14 Stefan Monnier <monnier@iro.umontreal.ca>
12985 * widget.el, hfy-cmap.el: Remove bogus package version number.
12987 2013-11-13 Glenn Morris <rgm@gnu.org>
12989 * replace.el (replace-eval-replacement):
12990 Try to give more helpful error message. (Bug#15836)
12992 * arc-mode.el (archive-7z-extract, archive-7z-expunge)
12993 (archive-7z-update): Avoid custom type mismatches.
12995 * vc/vc.el (vc-diff-knows-L): Remove; unused since 2007-10-10.
12997 2013-11-13 Michael Albinus <michael.albinus@gmx.de>
12999 * net/tramp.el (tramp-remote-file-name-spec-regexp): An IPv6
13000 address can be empty.
13002 * net/tramp-gvfs.el (tramp-gvfs-handle-insert-directory):
13003 Accept nil SWITCHES.
13004 (tramp-gvfs-handle-write-region): Implement APPEND.
13006 2013-11-12 Dmitry Gutov <dgutov@yandex.ru>
13008 * progmodes/ruby-mode.el (ruby-smie-grammar): Disambiguate between
13009 binary "|" operator and closing block args delimiter.
13010 Remove FIXME comment referring to Ruby 1.8-only syntax.
13011 (ruby-smie--implicit-semi-p): Not after "|" operator.
13012 (ruby-smie--closing-pipe-p): New function.
13013 (ruby-smie--forward-token, ruby-smie--backward-token): Use it.
13014 (ruby-smie-rules): Indent after "|".
13016 2013-11-12 Glenn Morris <rgm@gnu.org>
13018 * ps-print.el (ps-face-attribute-list):
13019 Handle anonymous faces. (Bug#15827)
13021 2013-11-12 Martin Rudalics <rudalics@gmx.at>
13023 * window.el (display-buffer-other-frame): Fix doc-string.
13026 2013-11-11 Stefan Monnier <monnier@iro.umontreal.ca>
13028 * subr.el (force-mode-line-update): Delete, move to buffer.c.
13030 2013-11-11 Michael Albinus <michael.albinus@gmx.de>
13032 * net/tramp-sh.el (tramp-do-copy-or-rename-file-via-buffer)
13033 (tramp-sh-handle-file-local-copy): Don't write a message when
13034 saving temporary files.
13036 * net/tramp-smb.el (tramp-smb-handle-copy-directory): Fix bug when
13037 both directories are remote.
13038 (tramp-smb-handle-directory-files): Do not return double entries.
13039 Do not expand full file names.
13040 (tramp-smb-handle-insert-directory): Accept nil SWITCHES.
13041 (tramp-smb-handle-write-region): Implement APPEND.
13042 (tramp-smb-get-stat-capability): Fix a stupid bug.
13044 2013-11-11 Stefan Monnier <monnier@iro.umontreal.ca>
13046 * bindings.el (ctl-x-map): Bind C-x SPC to rectangle-mark-mode.
13048 2013-11-11 Nathan Trapuzzano <nbtrap@nbtrap.com> (tiny change)
13050 * emacs-lisp/cconv.el (cconv-convert): Print warning instead of
13051 throwing error over malformed let/let* (bug#15814).
13053 2013-11-11 Stefan Monnier <monnier@iro.umontreal.ca>
13055 * iswitchb.el (iswitchb-mode): Mark obsolete.
13057 2013-11-11 Glenn Morris <rgm@gnu.org>
13059 * international/uni-bidi.el, international/uni-category.el:
13060 * international/uni-name.el, international/uni-numeric.el:
13061 Regenerate for Unicode 6.3.0.
13063 2013-11-10 Michael Albinus <michael.albinus@gmx.de>
13065 * net/tramp.el (tramp-methods):
13066 * net/tramp-sh.el (tramp-compute-multi-hops): Revert change of
13067 2013-10-29 (2013-10-29T02:50:24Z!dancol@dancol.org).
13069 2013-11-09 Andreas Schwab <schwab@linux-m68k.org>
13071 * progmodes/sh-script.el (sh-font-lock-keywords-var):
13072 Force highlighting text after Summary keyword in doc face for rpm.
13074 2013-11-09 Dmitry Gutov <dgutov@yandex.ru>
13076 * textmodes/ispell.el (ispell-lookup-words): When `look' is not
13077 available and the word has no wildcards, append one to the grep pattern.
13078 http://lists.gnu.org/archive/html/emacs-devel/2013-11/msg00258.html
13079 (ispell-complete-word): Call `ispell-lookup-words' with the value
13080 independent of `ispell-look-p'.
13082 2013-11-08 Dmitry Gutov <dgutov@yandex.ru>
13084 * progmodes/ruby-mode.el (ruby-smie--implicit-semi-p):
13086 (ruby-smie-rules): Indent non-hanging "begin" blocks as part of
13089 2013-11-08 Stefan Monnier <monnier@iro.umontreal.ca>
13091 * progmodes/ruby-mode.el: Don't require cl any more. Use pcase instead.
13092 (ruby-font-lock-keywords): Use backquote.
13094 2013-11-08 Dmitry Gutov <dgutov@yandex.ru>
13096 * progmodes/ruby-mode.el (ruby-smie--forward-token)
13097 (ruby-smie--backward-token): Only consider full-string matches.
13099 2013-11-08 Jan Djärv <jan.h.d@swipnet.se>
13101 * faces.el (describe-face): Add distant-foreground.
13103 2013-11-08 Bozhidar Batsov <bozhidar@batsov.com>
13105 * progmodes/ruby-mode.el: Improve encoding comment handling.
13106 (ruby-encoding-magic-comment-style): New option.
13107 (ruby-custom-encoding-magic-comment-template): New option.
13108 (ruby--insert-coding-comment, ruby--detect-encoding):
13109 New functions extracted from `ruby-mode-set-encoding'.
13110 (ruby-mode-set-encoding): Use `ruby-encoding-magic-comment-style'
13111 to control the style of the auto-inserted encoding comment.
13113 2013-11-08 Dmitry Gutov <dgutov@yandex.ru>
13115 * progmodes/ruby-mode.el (ruby-smie--indent-to-stmt):
13116 Use `smie-backward-sexp' with token argument.
13118 2013-11-08 Michael Albinus <michael.albinus@gmx.de>
13120 * net/tramp-sh.el (tramp-set-remote-path, tramp-get-ls-command):
13121 Remove instrumentation code.
13123 2013-11-08 Glenn Morris <rgm@gnu.org>
13125 * progmodes/autoconf.el (autoconf-mode):
13126 Tweak comment-start-skip. (Bug#15822)
13128 2013-11-08 Stefan Monnier <monnier@iro.umontreal.ca>
13130 * progmodes/sh-script.el (sh-smie--sh-keyword-in-p): Don't inf-loop
13131 at bobp (bug#15826).
13132 (sh-smie--sh-keyword-in-p): Recognize keywords at bobp.
13134 2013-11-08 Darren Hoo <darren.hoo@gmail.com>
13136 * man.el (Man-start-calling): New macro, extracted from
13137 Man-getpage-in-background.
13138 (Man-getpage-in-background): Use it.
13139 (Man-update-manpage): New command.
13140 (Man-mode-map): Bind it.
13142 2013-11-08 Dmitry Gutov <dgutov@yandex.ru>
13144 * progmodes/ruby-mode.el (ruby-smie-grammar): Improve precedences
13145 of "and", "or", "&&" and "||".
13146 (ruby-smie--args-separator-p): Prohibit keyword "do" as the first
13147 argument. Prohibit opening curly brace because it could only be a
13148 block opener in that position.
13149 (ruby-smie--forward-token, ruby-smie--backward-token):
13150 Separate "|" from "&" or "*" going after it. That can happen in block
13152 (ruby-smie--indent-to-stmt): New function, seeks the end of
13153 previous statement or beginning of buffer.
13154 (ruby-smie-rules): Use it.
13155 (ruby-smie-rules): Check if there's a ":" before a curly block
13156 opener candidate; if there is, it's a hash.
13158 2013-11-07 Stefan Monnier <monnier@iro.umontreal.ca>
13160 * emacs-lisp/cl-macs.el (cl-symbol-macrolet): Use macroexp-progn.
13161 (cl--block-wrapper): Fix last accidental change.
13163 2013-11-07 Michael Albinus <michael.albinus@gmx.de>
13165 * net/tramp-sh.el (tramp-set-remote-path, tramp-get-ls-command):
13166 Instrument, in order to hunt failure on hydra.
13168 2013-11-05 Nathan Trapuzzano <nbtrap@nbtrap.com> (tiny change)
13170 * emacs-lisp/cl-macs.el (cl-symbol-macrolet): Print warning for
13171 malformed bindings form (bug#15814).
13173 2013-11-07 Dmitry Gutov <dgutov@yandex.ru>
13175 * progmodes/ruby-mode.el (ruby-smie-grammar): Lower priority of
13176 "." compared to " @ ". This incidentally fixes some indentation
13177 examples with "do".
13178 (ruby-smie--implicit-semi-p): No implicit semi after "^", "and" or "or".
13179 (ruby-smie-grammar): New tokens: "and" and "or".
13180 (ruby-smie--args-separator-p): Fix the check for tokens at POS.
13181 Exclude "and" and "or". Remove "do" in order to work around token
13183 (ruby-smie-rules): Add all infix tokens. Handle the case of
13184 beginning-of-buffer.
13186 2013-11-06 Glenn Morris <rgm@gnu.org>
13188 * Makefile.in (setwins_almost, setwins_for_subdirs):
13189 Avoid accidental matches.
13191 2013-11-06 Stefan Monnier <monnier@iro.umontreal.ca>
13193 * menu-bar.el (popup-menu): Use key-binding.
13195 2013-11-06 Eli Zaretskii <eliz@gnu.org>
13197 * menu-bar.el (popup-menu, menu-bar-open): When displaying TTY
13198 menus, support also the menus produced by minor modes.
13201 2013-11-06 Leo Liu <sdl.web@gmail.com>
13203 * thingatpt.el (thing-at-point-looking-at): Add optional arg
13204 DISTANCE to bound the search. All uses changed. (Bug#15808)
13206 2013-11-06 Glenn Morris <rgm@gnu.org>
13208 * Makefile.in (setwins, setwins_almost, setwins_for_subdirs): Simplify.
13209 (setwins_almost, setwins_for_subdirs): Don't assume called from srcdir.
13210 (custom-deps, finder-data, autoloads, update-subdirs): No need to cd.
13212 2013-11-06 Stefan Monnier <monnier@iro.umontreal.ca>
13214 * electric.el (electric-indent-just-newline): New command.
13215 (electric-indent-mode-map): New keymap.
13216 (electric-indent-mode, electric-pair-mode, electric-layout-mode):
13217 Re-add :group which weren't redundant.
13219 * electric.el (electric-indent-local-mode): New minor mode.
13220 (electric-indent-functions-without-reindent): New var.
13221 (electric-indent-post-self-insert-function): Use it.
13222 * emacs-lisp/gv.el (buffer-local-value): Add setter.
13224 2013-11-05 Eli Zaretskii <eliz@gnu.org>
13226 * international/quail.el (quail-help): Be more explicit about the
13227 meaning of the labels shown on the keys. (Bug#15800)
13229 * startup.el (normal-top-level): Load the subdirs.el files before
13230 setting the locale environment. (Bug#15805)
13232 2013-11-05 Stefan Monnier <monnier@iro.umontreal.ca>
13234 * vc/vc-rcs.el (vc-rcs-parse): Make `gather' get e, b, and @-holes
13235 via arguments so as to get the right ones (bug#15418).
13237 * net/rcirc.el (rcirc-record-activity): Don't abuse add-to-list.
13239 2013-11-05 Michael Albinus <michael.albinus@gmx.de>
13241 Fix problems found while writing a test suite.
13243 * net/tramp-compat.el (tramp-compat-load): New defun.
13244 * net/tramp.el (tramp-handle-load): Use it.
13246 * net/tramp-sh.el (tramp-sh-handle-add-name-to-file): Handle the case
13247 "(numberp ok-if-already-exists)" correctly.
13249 2013-11-05 Xue Fuqiao <xfq.free@gmail.com>
13251 * international/characters.el (glyphless-char-display-control):
13254 2013-11-05 Bozhidar Batsov <bozhidar@batsov.com>
13256 * progmodes/python.el (python-mode):
13257 * progmodes/scheme.el (scheme-mode):
13258 * progmodes/prolog.el (prolog-mode):
13259 * progmodes/ruby-mode.el (ruby-mode):
13260 * emacs-lisp/lisp-mode.el (lisp-mode, lisp-interaction-mode)
13261 (emacs-lisp-mode): Remove incorrect and redundant text from docstring.
13263 2013-11-04 Stefan Monnier <monnier@iro.umontreal.ca>
13265 * rect.el (rectangle--highlight-for-redisplay):
13266 * emacs-lisp/smie.el (smie--next-indent-change):
13267 Use buffer-chars-modified-tick.
13269 * emacs-lisp/byte-run.el (defmacro, defun): Set their `indent' property.
13271 * electric.el (electric-indent-post-self-insert-function):
13272 Only delete trailing whitepsace if it is indeed trailing (bug#15767).
13274 2013-11-04 Helmut Eller <eller.helmut@gmail.com>
13276 * emacs-lisp/cl-indent.el (with-compilation-unit): Add rule (bug#15782).
13278 2013-11-04 Nathan Trapuzzano <nbtrap@nbtrap.com> (tiny change)
13280 * emacs-lisp/cconv.el (cconv-convert): Check form of let binding
13283 2013-11-04 Stefan Monnier <monnier@iro.umontreal.ca>
13285 * emacs-lisp/helpers.el: Move from helpers.el. Use lexical-binding.
13287 * progmodes/python.el: Fix up last change.
13288 (python-shell--save-temp-file): New function.
13289 (python-shell-send-string): Use it. Remove `msg' arg. Don't assume
13290 `string' comes from the current buffer.
13291 (python-shell-send-string-no-output): Remove `msg' arg.
13292 (python--use-fake-loc): New var.
13293 (python-shell-buffer-substring): Obey it. Try to compensate for the
13294 extra coding line added by python-shell--save-temp-file.
13295 (python-shell-send-region): Use python-shell--save-temp-file and
13296 python-shell-send-file directly. Add `nomain' argument.
13297 (python-shell-send-buffer): Use python-shell-send-region.
13298 (python-electric-pair-string-delimiter): New function.
13299 (python-mode): Use it.
13301 2013-11-04 Eli Zaretskii <eliz@gnu.org>
13303 * startup.el (normal-top-level): Move setting eol-mnemonic-unix,
13304 eol-mnemonic-mac, eol-mnemonic-dos, and also setup of the locale
13305 environment and decoding all of the default-directory's to here
13307 (command-line): Decode also argv[0].
13309 * loadup.el: Error out if default-directory is a multibyte string
13310 when we are dumping.
13312 * Makefile.in (emacs): Don't set LC_ALL=C. (Bug#15260)
13314 2013-11-04 Teodor Zlatanov <tzz@lifelogs.com>
13316 * emacs-lisp/package.el (package-menu-mode)
13317 (package-menu--print-info, package-menu--archive-predicate):
13318 Add Archive column to package list.
13320 2013-11-04 Michael Albinus <michael.albinus@gmx.de>
13322 Fix problems found while writing a test suite.
13324 * net/tramp.el (tramp-file-name-regexp-unified): Simplify.
13325 (tramp-file-name-for-operation): Use `tramp-tramp-file-p'.
13326 (tramp-handle-substitute-in-file-name): Let-bind `process-environment'
13327 to nil when running original file name handler. Otherwise,
13328 there are problems with constructs like "$$FOO".
13330 * net/tramp-sh.el (tramp-do-copy-or-rename-file): Use correct prefix
13333 2013-11-04 Bozhidar Batsov <bozhidar@batsov.com>
13335 * progmodes/ruby-mode.el (ruby-mode): Clean up docstring.
13337 * subr.el (version<, version<=, version=):
13338 Update docstrings with information for snapshot versions.
13340 * helpers.el: New library for misc helper functions.
13341 (hash-table-keys): New function returning a list of hash keys.
13342 (hash-table-values): New function returning a list of hash values.
13344 2013-11-04 Dmitry Gutov <dgutov@yandex.ru>
13346 * progmodes/ruby-mode.el (ruby-smie--forward-token)
13347 (ruby-smie--backward-token): Tokenize heredocs as semicolons.
13349 2013-11-04 Michal Nazarewicz <mina86@mina86.com>
13351 * textmodes/fill.el (fill-single-char-nobreak-p): New function
13352 checking whether point is after a 1-letter word.
13354 2013-11-04 Nathan Trapuzzano <nbtrap@nbtrap.com> (tiny change)
13356 * progmodes/cperl-mode.el (cperl-font-lock-fontify-region-function):
13357 Don't infloop when expanding region over `multiline' syntax-type that
13358 begins a line (bug#15778).
13360 2013-11-04 Stefan Monnier <monnier@iro.umontreal.ca>
13362 * rect.el (rectangle-mark-mode): Rename from rectangle-mark.
13363 Make it into a proper minor mode.
13364 (rectangle--region): (Implicitly) rename to rectangle-mark-mode.
13365 (rectangle-mark-mode-map): New keymap.
13366 (rectangle--highlight-for-redisplay): Fix some corner cases (bug#15796).
13368 2013-11-04 Glenn Morris <rgm@gnu.org>
13370 * startup.el (command-line-1): Allow `-L :...' to append to load-path.
13372 2013-11-03 Stefan Monnier <monnier@iro.umontreal.ca>
13374 * progmodes/ruby-mode.el (ruby-smie--rule-parent-skip-assign): Remove.
13375 (ruby-smie-rules): Use smie-rule-parent instead.
13377 * emacs-lisp/smie.el (smie-rule-parent): Always call
13378 smie-indent-virtual rather than only for hanging tokens.
13379 (smie--next-indent-change): New helper command.
13381 2013-11-03 Glenn Morris <rgm@gnu.org>
13383 * Makefile.in (abs_srcdir): Remove.
13384 (emacs): Unset EMACSLOADPATH.
13386 2013-11-02 Glenn Morris <rgm@gnu.org>
13388 * Makefile.in (EMACS): Use a relative filename.
13389 (abs_top_builddir): Remove.
13390 (custom-deps, finder-data, autoloads): Use --chdir.
13392 * Makefile.in (abs_lisp): Remove, replace by abs_srcdir.
13394 Use relative filenames in TAGS files.
13395 * Makefile.in (lisptagsfiles1, lisptagsfiles2, lisptagsfiles3)
13396 (lisptagsfiles4, TAGS): Use relative file names.
13397 (TAGS-LISP): Remove.
13398 (maintainer-clean): No more TAGS-LISP file.
13400 * Makefile.in (lisptagsfiles1, lisptagsfiles2, lisptagsfiles3)
13401 (lisptagsfiles4): Use absolute filenames again.
13402 (TAGS, TAGS-LISP): Not everything needs to run in one line.
13403 Remove all *loaddefs files, not just the first. Remove esh-groups.
13404 (maintainer-clean): Delete TAGS, TAGS-LISP.
13406 2013-11-02 Bozhidar Batsov <bozhidar@batsov.com>
13408 * emacs-lisp/package.el (package-version-join):
13409 Recognize snapshot versions.
13411 2013-11-02 Bozhidar Batsov <bozhidar@batsov.com>
13413 * subr.el (version-regexp-alist): Add support for snapshot versions.
13415 2013-11-02 Dmitry Gutov <dgutov@yandex.ru>
13417 * progmodes/ruby-mode.el (ruby-smie--rule-parent-skip-assign):
13418 New function, replacement for `smie-rule-parent' for when we want to
13419 skip over our direct parent if it's an assignment token..
13420 (ruby-smie-rules): Use it.
13422 2013-11-02 Dmitry Gutov <dgutov@yandex.ru>
13424 * progmodes/ruby-mode.el: Use `syntax-propertize-function'
13425 unconditionally. Remove now unnecessary forward declarations.
13426 Remove XEmacs-specific setup.
13427 (ruby-here-doc-end-re, ruby-here-doc-beg-match)
13428 (ruby-font-lock-syntactic-keywords)
13429 (ruby-comment-beg-syntax, ruby-in-here-doc-p)
13430 (ruby-here-doc-find-end, ruby-here-doc-beg-syntax)
13431 (ruby-here-doc-end-syntax): Remove.
13432 (ruby-mode): Don't check whether `syntax-propertize-rules' is
13433 defined as function.
13435 2013-11-02 Bozhidar Batsov <bozhidar@batsov.com>
13437 * progmodes/ruby-mode.el (ruby-mode-variables, ruby-mode): Use `setq-local'.
13439 2013-11-01 Bozhidar Batsov <bozhidar@batsov.com>
13441 * progmodes/ruby-mode.el (ruby-mode-variables): Don't set syntax
13442 table and abbrev table, `define-derived-mode' does that for us
13445 2013-11-01 Glenn Morris <rgm@gnu.org>
13447 * Makefile.in: Remove manual mh-e dependencies (writing .elc
13448 files is atomic for some time, so no parallel compilation issues).
13450 2013-11-01 Jan Djärv <jan.h.d@swipnet.se>
13452 * faces.el (face-x-resources): Add :distant-foreground.
13453 (region): Use :distant-foreground for gtk and ns.
13455 2013-11-01 Tassilo Horn <tsdh@gnu.org>
13457 Allow multiple bibliographies when BibLaTeX is used rather than
13459 * textmodes/reftex-parse.el (reftex-using-biblatex-p): New function.
13460 (reftex-locate-bibliography-files): Us it.
13462 2013-11-01 Claudio Bley <claudio.bley@googlemail.com>
13464 * image.el (image-type-header-regexps): Fix the 'pbm' part to
13465 allow comments in pbm files.
13467 * term/w32-win.el (dynamic-library-alist): Support newer versions
13468 of libjpeg starting with v7: look only for the DLL from the
13469 version against which Emacs was built.
13470 Support versions of libpng beyond 1.4.x.
13471 Support libtiff v4.x.
13473 2013-11-01 Bozhidar Batsov <bozhidar@batsov.com>
13475 * progmodes/ruby-mode.el (ruby-indent-tabs-mode)
13476 (ruby-indent-level, ruby-comment-column, ruby-deep-arglist):
13477 Add property :safe.
13478 (ruby-deep-arglist): Add property :type.
13480 2013-10-31 Glenn Morris <rgm@gnu.org>
13482 * Makefile.in (custom-deps, finder-data): No need to setq the target
13483 variables, we are in the right directory and the defaults work fine.
13485 2013-10-30 Glenn Morris <rgm@gnu.org>
13487 * Makefile.in (autoloads): Do not use abs_lisp.
13489 * emacs-lisp/autoload.el (autoload-generate-file-autoloads):
13490 `newline' does not respect `standard-output', so use `princ'.
13492 2013-10-30 Alp Aker <alp.tekin.aker@gmail.com>
13494 Ensure unmarking in buffer menu clears 'S' marks. (Bug#15761)
13495 * buff-menu.el (Buffer-menu--unmark): New function.
13496 (Buffer-menu-unmark, Buffer-menu-backup-unmark): Use it.
13498 2013-10-30 Glenn Morris <rgm@gnu.org>
13500 * Makefile.in (AUTOGENEL): Add org/org-loaddefs.el.
13502 * emacs-lisp/package.el (lm-homepage): Declare.
13504 * eshell/em-ls.el (eshell-ls-directory, eshell-ls-symlink):
13507 * vc/pcvs.el (cvs-status-cvstrees): Autoload to silence compiler.
13509 * Makefile.in (finder-data, autoloads, update-subdirs)
13510 (compile-main, compile-clean, compile-always, bootstrap-clean):
13511 Check return value of cd.
13512 (compile-calc): Remove.
13514 2013-10-30 Stefan Monnier <monnier@iro.umontreal.ca>
13516 * simple.el (copy-region-as-kill): Fix call to region-extract-function.
13518 * emacs-lisp/bytecomp.el (byte-defop-compiler): Add new `2-and' handler.
13519 (byte-compile-and-folded): New function.
13520 (=, <, >, <=, >=): Use it.
13522 * dos-w32.el (minibuffer-history-case-insensitive-variables)
13523 (path-separator, null-device, buffer-file-coding-system)
13524 (lpr-headers-switches): Check system-type before modifying them.
13525 (find-buffer-file-type-coding-system): Mark obsolete.
13526 (w32-find-file-not-found-set-buffer-file-coding-system): Rename from
13527 find-file-not-found-set-buffer-file-coding-system.
13528 (w32-untranslated-filesystem-list, w32-untranslated-canonical-name)
13529 (w32-add-untranslated-filesystem, w32-remove-untranslated-filesystem)
13530 (w32-direct-print-region-use-command-dot-com, w32-untranslated-file-p)
13531 (w32-direct-print-region-helper, w32-direct-print-region-function)
13532 (w32-direct-ps-print-region-function): Rename by adding a "w32-" prefix.
13533 * startup.el (normal-top-level-add-subdirs-to-load-path):
13534 * ps-print.el (ps-print-region-function):
13535 * lpr.el (print-region-function): Use new name.
13537 * subr.el (custom-declare-variable-early): Remove function.
13538 (custom-declare-variable-list): Remove var.
13539 (error, user-error): Remove `while' loop.
13540 (read-quoted-char-radix, read-quoted-char): Move to simple.el.
13541 (user-emacs-directory-warning, locate-user-emacs-file):
13543 * simple.el (read-quoted-char-radix, read-quoted-char):
13544 * files.el (user-emacs-directory-warning, locate-user-emacs-file):
13546 * custom.el (custom-declare-variable-list): Don't process
13547 custom-declare-variable-list.
13549 * progmodes/python.el (python-shell-get-buffer): New function.
13550 (python-shell-get-process): Use it.
13551 (python-shell-send-string): Always use utf-8 and add a cookie to tell
13552 Python which encoding was used. Don't split-string since we only care
13553 about the first line. Return the temp-file, if applicable.
13554 (python-shell-send-region): Tell compile.el how to turn locations in
13555 the temp-file into locations in the source buffer.
13557 2013-10-29 Stefan Monnier <monnier@iro.umontreal.ca>
13559 * subr.el (undefined): Add missing behavior from the C code for
13562 * rect.el: Use lexical-binding. Add new rectangular region support.
13563 (rectangle-mark): New command.
13564 (rectangle--region): New var.
13565 (deactivate-mark-hook): Reset rectangle--region.
13566 (rectangle--extract-region, rectangle--insert-for-yank)
13567 (rectangle--highlight-for-redisplay)
13568 (rectangle--unhighlight-for-redisplay): New functions.
13569 (region-extract-function, redisplay-unhighlight-region-function)
13570 (redisplay-highlight-region-function): Use them to handle
13571 rectangular region.
13572 * simple.el (region-extract-function): New var.
13573 (delete-backward-char, delete-forward-char, deactivate-mark): Use it.
13574 (kill-new, kill-append): Remove obsolete `yank-handler' argument.
13575 (kill-region): Replace obsolete `yank-handler' arg with `region'.
13576 (copy-region-as-kill, kill-ring-save): Add `region' argument.
13577 (redisplay-unhighlight-region-function)
13578 (redisplay-highlight-region-function): New vars.
13579 (redisplay--update-region-highlight): New function.
13580 (pre-redisplay-function): Use it.
13581 (exchange-point-and-mark): Don't deactivate the mark before
13582 reactivate-it anyway.
13583 * comint.el (comint-kill-region): Remove yank-handler argument.
13584 * delsel.el (delete-backward-char, backward-delete-char-untabify)
13585 (delete-char): Remove property, since it's now part of their
13587 (self-insert-iso): Remove property since this command doesn't exist.
13589 * emacs-lisp/package.el (package--download-one-archive)
13590 (describe-package-1): Don't query the user about final newline.
13592 2013-10-29 Daniel Colascione <dancol@dancol.org>
13594 * net/tramp.el (tramp-methods): Document new functionality.
13595 * net/tramp-sh.el (tramp-compute-multi-hops): Punt to
13596 tramp-hostname-checker if method provides one instead of scanning
13597 argument list for "%h" to decide hostname acceptability.
13599 2013-10-28 Michael Albinus <michael.albinus@gmx.de>
13601 * net/tramp-sh.el (tramp-sh-handle-copy-directory):
13602 * net/tramp-smb.el (tramp-smb-handle-copy-directory):
13603 Handle COPY-CONTENTS. (Bug#15737)
13605 2013-10-28 Daiki Ueno <ueno@gnu.org>
13607 * epa-file.el (epa-file-cache-passphrase-for-symmetric-encryption):
13608 Document that this option has no effect with GnuPG 2.0 (bug#15552).
13610 2013-10-27 Xue Fuqiao <xfq.free@gmail.com>
13612 * image.el (defimage, image-load-path): Doc fixes.
13614 2013-10-27 Alan Mackenzie <acm@muc.de>
13616 Indent statements in macros following "##" correctly.
13617 * progmodes/cc-engine.el (c-crosses-statement-barrier-p):
13618 Modify the "#" arm of a cond form to handle "#" and "##" operators.
13620 2013-10-27 Nathan Trapuzzano <nbtrap@nbtrap.com> (tiny change)
13622 * linum.el (linum-update-window): Fix boundary test (bug#13446).
13624 2013-10-27 Dmitry Gutov <dgutov@yandex.ru>
13626 * progmodes/ruby-mode.el (ruby-smie--bosp): Anything that goes
13627 after `=' is probably a new expression.
13629 2013-10-27 Rüdiger Sonderfeld <ruediger@c-plusplus.de>
13631 * man.el (man-imenu-title): New option.
13632 (Man-mode-map): Add menu. (Bug#15722)
13633 (Man-mode): Add imenu to menu.
13635 2013-10-26 Dmitry Gutov <dgutov@yandex.ru>
13637 * progmodes/ruby-mode.el (ruby-smie--args-separator-p): Be more
13638 specific in what the first arg can be: a non-keyword word,
13639 string/regexp/percent literal opener, opening paren, or unary
13640 operator followed directly by word.
13642 2013-10-25 Stefan Monnier <monnier@iro.umontreal.ca>
13644 * progmodes/prolog.el: Remove old indent; use post-self-insert-hook.
13645 (prolog-align-comments-flag, prolog-indent-mline-comments-flag)
13646 (prolog-object-end-to-0-flag, prolog-electric-newline-flag)
13647 (prolog-electric-tab-flag, prolog-use-prolog-tokenizer-flag):
13648 Remove vars, they do not apply any more.
13649 (prolog-mode-abbrev-table): Remove redundant declaration.
13650 (prolog-upper-case-string, prolog-lower-case-string): Remove.
13651 (prolog-use-smie): Remove.
13652 (prolog-smie-rules): Add indentation rule for the if-then-else layout
13653 supported by prolog-electric-if-then-else-flag.
13654 (prolog-mode-variables, prolog-menu): Use setq-local.
13655 (prolog-mode-keybindings-edit): Don't rebind M-C-p and M-C-n.
13656 Remove binding to `Backspace' since this key doesn't exist anyway.
13657 Remove bindings for electric self-inserting keys.
13658 (prog-mode): Assume it's defined.
13659 (prolog-post-self-insert): New function.
13660 (prolog-mode): Use it.
13661 (prolog-indent-line, prolog-indent-level)
13662 (prolog-find-indent-of-matching-paren)
13663 (prolog-indentation-level-of-line, prolog-goto-comment-column)
13664 (prolog-paren-is-the-first-on-line-p, prolog-region-paren-balance)
13665 (prolog-goto-next-paren, prolog-in-string-or-comment)
13666 (prolog-tokenize, prolog-inside-mline-comment)
13667 (prolog-find-start-of-mline-comment): Remove functions.
13668 (prolog-find-unmatched-paren, prolog-clause-end)
13669 (prolog-guess-fill-prefix, prolog-get-predspec): Use syntax-ppss.
13670 (prolog-electric--if-then-else): Rename from
13671 prolog-insert-spaces-after-paren; use prolog-electric-if-then-else-flag.
13672 (prolog-tokenize-searchkey): Remove const.
13673 (prolog-clause-info): Use forward-sexp.
13674 (prolog-forward-list, prolog-backward-list, prolog-electric-delete)
13675 (prolog-electric-if-then-else): Remove commands.
13676 (prolog-electric--colon): Rename from prolog-electric-colon; adapt it
13677 for use in post-self-insert-hook.
13678 (prolog-electric--dash): Rename from prolog-electric-dash; adapt it
13679 for use in post-self-insert-hook.
13680 (prolog-electric--dot): Rename from prolog-electric-dot; adapt it
13681 for use in post-self-insert-hook.
13682 (prolog-electric--underscore): Rename from prolog-electric--underscore;
13683 adapt it for use in post-self-insert-hook.
13685 2013-10-25 Michael Albinus <michael.albinus@gmx.de>
13687 * emacs-lisp/ert.el (ert-run-tests-interactively):
13688 Use `completing-read'. (Bug#9756)
13690 2013-10-25 Eli Zaretskii <eliz@gnu.org>
13692 * simple.el (line-move): Call line-move-1 instead of
13693 line-move-visual when the current window hscroll is zero, but
13694 temporary-goal-column indicates we will need to hscroll as result
13695 of the movement. (Bug#15712)
13697 2013-10-25 Dmitry Gutov <dgutov@yandex.ru>
13699 * progmodes/ruby-mode.el (ruby-mode-menu): Use proper
13700 capitalization. Use :visible instead of :active.
13701 Fix `ruby-indent-exp' reference. Add menu items for the generic
13702 commands that are used with SMIE.
13703 (ruby-do-end-to-brace): Insert space after `{'.
13705 2013-10-25 John Anthony <john@jo.hnanthony.com>
13707 * progmodes/ruby-mode.el (ruby-mode-menu): Add a menu. (Bug#15600)
13709 * progmodes/inf-lisp.el (inferior-lisp-menu): Add a menu. (Bug#15599)
13711 2013-10-25 Glenn Morris <rgm@gnu.org>
13713 * vc/vc.el (vc-print-log): Don't use a working revision unless
13714 one was explicitly specified. (Bug#15322)
13716 2013-10-25 Stefan Monnier <monnier@iro.umontreal.ca>
13718 * subr.el (add-to-list): Preserve return value in compiler-macro
13721 2013-10-25 Rüdiger Sonderfeld <ruediger@c-plusplus.de>
13723 * progmodes/octave.el (octave-lookfor): Handle empty lookfor
13724 result. Ask user to retry using '-all' flag. (Bug#15701)
13726 2013-10-24 Stefan Monnier <monnier@iro.umontreal.ca>
13728 * emacs-lisp/smie.el: New smie-config system.
13729 (smie-config): New defcustom.
13730 (smie-edebug, smie-config-show-indent, smie-config-set-indent)
13731 (smie-config-guess, smie-config-save): New commands.
13732 (smie-config--mode-local, smie-config--buffer-local)
13733 (smie-config--trace, smie-config--modefuns): New vars.
13734 (smie-config--advice, smie-config--mode-hook)
13735 (smie-config--setter, smie-config-local, smie-config--get-trace)
13736 (smie-config--guess-value, smie-config--guess): New functions.
13737 (smie-indent-forward-token, smie-indent-backward-token): Don't copy
13738 text properties. Treat "string fence" syntax like string syntax.
13740 * progmodes/sh-script.el (sh-use-smie): Change default.
13741 (sh-smie-sh-rules, sh-smie-rc-rules): Obey legacy sh-indent-* vars.
13742 (sh-var-value): Simplify by CSE.
13743 (sh-show-indent, sh-set-indent, sh-learn-line-indent)
13744 (sh-learn-buffer-indent): Redirect to their SMIE equivalent when SMIE
13746 (sh-guess-basic-offset): Use cl-incf.
13747 (sh-guess-basic-offset): Use push+nreverse to avoid O(n^2).
13749 2013-10-24 Helmut Eller <eller.helmut@gmail.com>
13751 * emacs-lisp/lisp-mode.el (lisp-cl-font-lock-keywords-2): Fix cut&paste
13754 2013-10-24 Glenn Morris <rgm@gnu.org>
13756 * Makefile.in (abs_top_srcdir): Remove.
13757 (update-subdirs): Use relative path to update-subdirs.
13759 2013-10-24 Eli Zaretskii <eliz@gnu.org>
13761 * Makefile.in ($(MH_E_DIR)/mh-loaddefs.el)
13762 ($(TRAMP_DIR)/tramp-loaddefs.el, $(CAL_DIR)/cal-loaddefs.el)
13763 ($(CAL_DIR)/diary-loaddefs.el, $(CAL_DIR)/hol-loaddefs.el):
13764 Call unmsys--file-name before expand-file-name, not after it.
13766 2013-10-24 Michael Albinus <michael.albinus@gmx.de>
13768 * emacs-lisp/ert.el (ert-deftest): Bind macro `skip-unless'.
13769 (ert-test-skipped): New error.
13770 (ert-skip, ert-stats-skipped): New defuns.
13771 (ert--skip-unless): New macro.
13772 (ert-test-skipped): New struct.
13773 (ert--run-test-debugger, ert-test-result-type-p)
13774 (ert-test-result-expected-p, ert--stats, ert-stats-completed)
13775 (ert--stats-set-test-and-result, ert-char-for-test-result)
13776 (ert-string-for-test-result, ert-run-tests-batch)
13777 (ert--results-update-ewoc-hf, ert-run-tests-interactively):
13778 Handle skipped tests. (Bug#9803)
13780 2013-10-24 Glenn Morris <rgm@gnu.org>
13782 * Makefile.in (check-declare): Remove unnecessary path in -l argument.
13784 * Makefile.in (abs_top_srcdir): New, set by configure.
13785 (update-subdirs): Correct build-aux location.
13787 2013-10-24 Dmitry Gutov <dgutov@yandex.ru>
13789 * vc/vc.el (vc-print-root-log): Always set `default-directory'
13790 value, whether we could auto-deduce `backend', or not.
13792 * progmodes/ruby-mode.el (ruby-smie-rules): Fix the "curly block
13793 with parameters" example. Simplify the "is it block or is it
13794 hash" check, but also make it more thorough.
13796 2013-10-23 Masashi Fujimoto <masfj.dev@gmail.com> (tiny change)
13798 * battery.el (battery-pmset): Handle OS X Mavericks. (Bug#15694)
13800 2013-10-23 Stefan Monnier <monnier@iro.umontreal.ca>
13802 * progmodes/ruby-mode.el (ruby-smie-rules): Only align with parent of
13803 { if it is hanging.
13805 * progmodes/ruby-mode.el (ruby-smie-rules): Don't return 0 for
13808 2013-10-23 Jed Brown <jed@59A2.org> (tiny change)
13810 * progmodes/compile.el (compilation-directory-matcher)
13811 (compilation-page-delimiter):
13812 Support GNU Make-4.0 directory quoting. (Bug#15678)
13814 2013-10-23 Leo Liu <sdl.web@gmail.com>
13816 * ido.el (ido-tidy): Handle read-only text.
13818 2013-10-23 Glenn Morris <rgm@gnu.org>
13820 * Makefile.in (abs_srcdir, abs_lisp): New, set by configure.
13821 (emacs, compile, compile-always):
13822 Quote entities that might contain whitespace.
13823 (custom-deps, finder-data, autoloads): Use abs_lisp.
13824 ($(MH_E_DIR)/mh-loaddefs.el, $(TRAMP_DIR)/tramp-loaddefs.el)
13825 ($(CAL_DIR)/cal-loaddefs.el, $(CAL_DIR)/diary-loaddefs.el)
13826 ($(CAL_DIR)/hol-loaddefs.el): Manually expand target file name.
13828 2013-10-23 Dmitry Gutov <dgutov@yandex.ru>
13830 * progmodes/ruby-mode.el (ruby-smie--at-dot-call):
13831 Use `following-char'.
13833 2013-10-22 Stefan Monnier <monnier@iro.umontreal.ca>
13835 * emacs-lisp/smie.el (smie-rule-parent): Fix opener-test.
13836 * progmodes/ruby-mode.el (ruby-smie-rules):
13837 Remove corresponding workaround. Fix indentation rule of ";" so it
13838 also applies when ";" is the parent.
13840 2013-10-22 Xue Fuqiao <xfq.free@gmail.com>
13842 * frame.el (display-screens, display-pixel-height)
13843 (display-pixel-width, display-mm-width, display-backing-store)
13844 (display-save-under, display-planes, display-color-cells)
13845 (display-visual-class, display-monitor-attributes-list):
13846 Mention the optional ‘display’ argument in doc strings.
13848 2013-10-22 Michael Gauland <mikelygee@amuri.net>
13850 * progmodes/ebnf2ps.el (ebnf-prologue): Avoid PS error with some
13851 viewers such as evince when ebnf-production-name-p is nil. (Bug#15625)
13853 2013-10-21 Dmitry Gutov <dgutov@yandex.ru>
13855 * progmodes/ruby-mode.el (ruby-smie-grammar): Remove outdated
13856 TODO. Add "." after " @ ".
13857 (ruby-smie--at-dot-call): New function. Checks if point at method
13858 call with explicit target.
13859 (ruby-smie--forward-token, ruby-smie--backward-token): Prepend "."
13860 to the method name tokens when it precedes them.
13861 (ruby-smie--backward-id, ruby-smie--forward-id): Remove.
13862 (ruby-smie-rules): Add rule for indentation before and after "."
13865 2013-10-21 Stefan Monnier <monnier@iro.umontreal.ca>
13867 * textmodes/remember.el (remember-diary-extract-entries):
13870 * progmodes/ruby-mode.el (ruby-smie-rules): Indent after + used as
13873 2013-10-21 Dmitry Gutov <dgutov@yandex.ru>
13875 * progmodes/ruby-mode.el (ruby-smie-grammar):
13876 Add (almost) all infix operators.
13877 (ruby-smie--implicit-semi-p): Add new operator chars.
13879 * progmodes/ruby-mode.el (ruby-mode-map): Add binding for
13881 (ruby-smie--args-separator-p): Check that there's no newline
13882 between method call and its arguments.
13884 2013-10-20 Alan Mackenzie <acm@muc.de>
13886 Allow comma separated lists after Java "implements".
13888 * progmodes/cc-engine.el (c-backward-over-enum-header):
13890 * progmodes/cc-fonts.el (c-basic-matchers-after): Remove comma
13891 from a "disallowed" list in enum fontification.
13893 2013-10-20 Johan Bockgård <bojohan@gnu.org>
13895 * startup.el (default-frame-background-mode): Remove unused defvar.
13897 * progmodes/verilog-mode.el (verilog-mode): Don't set
13898 comment-indent-function globally.
13900 2013-10-20 Jan Djärv <jan.h.d@swipnet.se>
13902 * menu-bar.el: Put help-menu in menu-bar-final-items unconditionally.
13903 Move Info menu item creation to ns-win.el.
13905 * term/ns-win.el (ns-initialize-window-system): Rename Help to Info
13908 * menu-bar.el: Move GNUstep specific menus...
13910 * term/ns-win.el (ns-initialize-window-system): ... to here.
13912 2013-10-19 Stefan Monnier <monnier@iro.umontreal.ca>
13914 * simple.el (newline): Only run post-self-insert-hook when
13915 called interactively.
13917 2013-10-19 Johan Bockgård <bojohan@gnu.org>
13919 * icomplete.el (icomplete-with-completion-tables): Add :version.
13921 2013-10-19 Alan Mackenzie <acm@muc.de>
13923 Fix fontification bugs with constructors and const.
13925 * progmodes/cc-engine.el (c-forward-decl-or-cast-1): (Just after
13926 CASE 2) Remove the check for the absence of a suffix construct
13927 after a function declaration with only types (no identifiers) in
13928 the parentheses. Also, accept a function declaration with just a
13929 type inside the parentheses, if this type can be positively
13930 recognised as such, or if a prefix keyword like "explicit" nails
13931 down the construct as a declaration.
13933 2013-10-19 Eli Zaretskii <eliz@gnu.org>
13935 * menu-bar.el (tty-menu-navigation-map): Bind mouse-N to perform
13936 TTY menu actions and down-mouse-N to tty-menu-ignore. This solves
13937 the problem whereby selecting a menu item that leads to a
13938 minibuffer prompt moves the cursor out of the minibuffer window,
13939 making it hard to type at the prompt. Suggested by Stefan Monnier
13940 <monnier@iro.umontreal.ca>.
13942 2013-10-19 Jan Djärv <jan.h.d@swipnet.se>
13944 * menu-bar.el: Don't make Services menu.
13946 2013-10-19 Rüdiger Sonderfeld <ruediger@c-plusplus.de>
13948 * ffap.el: Handle "/usr/include/c++/<version>" directories.
13949 (ffap-alist): Use ffap-c++-mode for c++-mode.
13950 (ffap-c++-path): New variable.
13951 (ffap-c++-mode): New function.
13953 2013-10-19 Joe Vornehm Jr. <joe.vornehm@gmail.com> (tiny change)
13955 * ido.el (dired-other-frame): Only list directories. (Bug#15638)
13957 2013-10-18 Michael Albinus <michael.albinus@gmx.de>
13959 * net/tramp-smb.el (tramp-smb-maybe-open-connection): Fix an error
13960 introduced on 2013-09-08, which results in an infinite loop
13961 requesting a password.
13963 2013-10-18 Glenn Morris <rgm@gnu.org>
13965 * progmodes/verilog-mode.el (verilog-case-fold): Add :version.
13967 2013-10-18 Wilson Snyder <wsnyder@wsnyder.org>
13969 Sync with upstream verilog-mode revision 1a6ecec7.
13970 * progmodes/verilog-mode.el (verilog-mode-version): Update.
13971 (verilog-mode-release-date): Remove.
13972 (verilog-highlight-grouping-keywords, verilog-active-low-regexp)
13973 (verilog-auto-inst-param-value, verilog-auto-input-ignore-regexp)
13974 (verilog-auto-inout-ignore-regexp, verilog-auto-output-ignore-regexp)
13975 (verilog-auto-tieoff-ignore-regexp)
13976 (verilog-auto-unused-ignore-regexp, verilog-typedef-regexp)
13977 (verilog-scan-cache-preserving, verilog-mode, verilog-at-struct-p)
13978 (verilog-signals-with, verilog-dir-cache-preserving)
13979 (verilog-auto-inst, verilog-auto-inout-param, verilog-auto):
13981 (verilog-case-fold): New option, to control case folding in
13982 regexp searches, bug597.
13983 (verilog-menu): Add verilog-sk-uvm-component, minor tweaks.
13984 (verilog-string-match-fold, verilog-in-paren-count)
13985 (verilog-in-struct-nested-p, verilog-at-struct-mv-p)
13986 (verilog-at-close-struct-p): New functions.
13987 (verilog-beg-block-re-ordered, verilog-extended-case-re)
13988 (verilog-forward-sexp, verilog-set-auto-endcomments)
13989 (verilog-leap-to-case-head): Handle "unique0" case.
13990 (verilog-in-constraint-re): New constant.
13991 (verilog-keywords, verilog-type-font-keywords):
13992 Add some SystemVerilog 1800-2012 keywords.
13993 (verilog-label-be): Remove unimplemented argument, bug669.
13994 (verilog-batch-execute-func): When batch expanding clear
13995 create-lockfiles to prevent spurious user locks when a file ends
13997 (verilog-calculate-indent, verilog-calc-1)
13998 (verilog-at-close-constraint-p, verilog-at-constraint-p)
13999 (verilog-do-indent): Fix indentation of nested constraints
14001 (verilog-sig-tieoff, verilog-typedef-name-p, verilog-auto-inst)
14002 (verilog-auto-inst-param): Use verilog-string-match-fold.
14003 (verilog-read-inst-module-matcher):
14004 Fix AUTOINST on gate primitives with #1.
14005 (verilog-read-decls): Fix double-declaring user-defined typed signals.
14006 Reads all user-defined typed variables.
14007 (verilog-read-defines): Fix reading definitions inside comments, bug647.
14008 (verilog-signals-matching-regexp)
14009 (verilog-signals-not-matching-regexp, verilog-auto):
14010 Respect verilog-case-fold.
14011 (verilog-diff-report): Fix line count.
14012 (verilog-auto-assign-modport): Remove unused local `modi'.
14013 (verilog-auto-inst-port): Support [][] in AUTO_TEMPLATE to
14014 better handle multidimensional arrays.
14015 Fix packed array ports misadding bit index in AUTOINST, bug637.
14016 (verilog-auto-output, verilog-auto-input): Fix AUTOINPUT and AUTOOUTPUT
14017 to not double-declare existing outputs and inputs, respectively.
14018 (verilog-template-map): Bind U to verilog-sk-uvm-component.
14019 (verilog-sk-uvm-object): Rename from verilog-sk-uvm-class.
14020 (verilog-sk-uvm-component): New skeleton.
14021 (verilog-submit-bug-report): Add verilog-case-fold,
14022 remove verilog-mode-release-date.
14024 2013-10-17 Barry O'Reilly <gundaetiapo@gmail.com>
14026 * subr.el (sit-for): Call (input-pending-p t) so as to behave
14029 2013-10-18 Reuben Thomas <rrt@sc3d.org>
14031 * textmodes/remember.el (remember): Set buffer-offer-save in
14032 remember buffers (bug#13566).
14034 2013-10-18 Daniel Colascione <dancol@dancol.org>
14036 When evaluating forms in ielm, direct standard output to ielm
14037 buffer. Add new ielm-return-for-effect command. Remove trailing
14038 whitespace throughout.
14040 * ielm.el (ielm-map): Bind M-RET to ielm-return-for-effect.
14041 (ielm-return-for-effect): New command.
14042 (ielm-send-input): Accept optional `for-effect' parameter.
14043 (ielm-eval-input): Accept optional `for-effect' parameter.
14044 Bind `standard-output' to stream we create using
14045 `ielm-standard-output-impl'. Suppress printing result when
14047 (ielm-standard-output-impl): New function.
14048 (inferior-emacs-lisp-mode): Explain new features in documentation.
14050 2013-10-17 Michael Albinus <michael.albinus@gmx.de>
14054 * net/tramp.el (tramp-debug-message): Do not check for connection
14056 (tramp-message): Use "vector" connection property.
14058 * net/tramp.el (tramp-rfn-eshadow-update-overlay)
14059 (tramp-equal-remote, tramp-eshell-directory-change)
14060 * net/tramp-adb.el (tramp-adb-handle-copy-file)
14061 (tramp-adb-handle-rename-file)
14062 * net/tramp-cmds.el (tramp-list-remote-buffers)
14063 (tramp-cleanup-connection, tramp-cleanup-this-connection)
14064 * net/tramp-compat.el (tramp-compat-process-running-p)
14065 * net/tramp-ftp.el (tramp-ftp-file-name-handler)
14066 * net/tramp-gvfs.el (tramp-gvfs-handle-copy-file)
14067 (tramp-gvfs-handle-rename-file)
14068 * net/tramp-sh.el (tramp-sh-handle-set-file-times)
14069 (tramp-set-file-uid-gid)
14070 * net/tramp-smb.el (tramp-smb-handle-copy-file)
14071 (tramp-smb-handle-rename-file): Use `tramp-tramp-file-p' instead
14072 of `file-remote-p'.
14074 * net/tramp.el (tramp-connectable-p, tramp-handle-file-remote-p)
14075 * net/tramp-gw.el (tramp-gw-gw-proc-sentinel)
14076 (tramp-gw-aux-proc-sentinel, tramp-gw-process-filter)
14077 (tramp-gw-open-network-stream): Suppress unrelated traces.
14079 * net/tramp-adb.el (tramp-adb-maybe-open-connection)
14080 * net/tramp-gvfs.el (tramp-gvfs-handle-file-notify-add-watch)
14081 * net/tramp-sh.el (tramp-do-copy-or-rename-file-out-of-band)
14082 * net/tramp-smb.el (tramp-smb-maybe-open-connection): Set "vector"
14083 connection property.
14085 * net/tramp-cache.el (top): Suppress traces when reading
14088 * net/tramp-sh.el (tramp-sh-handle-file-notify-add-watch):
14089 Refactor common code. Improve debug message.
14090 (tramp-maybe-open-connection)
14091 * net/tramp-smb.el (tramp-smb-call-winexe): Do not request
14092 connection buffer too early.
14094 * net/tramp-smb.el (tramp-smb-actions-get-acl): New defconst, renamed
14095 from `tramp-smb-actions-with-acl'.
14096 (tramp-smb-actions-set-acl): New defconst.
14097 (tramp-smb-handle-copy-directory)
14098 (tramp-smb-action-get-acl): New defun, renamed from
14099 `tramp-smb-action-with-acl'.
14100 (tramp-smb-action-set-acl): New defun.
14101 (tramp-smb-handle-set-file-acl): Rewrite.
14103 2013-10-17 Glenn Morris <rgm@gnu.org>
14105 * indent.el (indent-rigidly): Fix 2013-10-08 change. (Bug#15635)
14107 2013-10-17 Stefan Monnier <monnier@iro.umontreal.ca>
14109 * skeleton.el (skeleton-newline): Remove.
14110 (skeleton-internal-1): Use (insert "\n") instead.
14112 * emacs-lisp/lisp.el (lisp-completion-at-point): Complete var names for
14115 * progmodes/sh-script.el (sh-find-prev-matching): Disable SMIE's
14116 forward-sexp-function while we redo its job (bug#15613).
14118 2013-10-17 Jay Belanger <jay.p.belanger@gmail.com>
14120 * calc/calc-comb.el (math-prime-test): Don't assume large integers are
14121 represented by lists.
14123 2013-10-16 Glenn Morris <rgm@gnu.org>
14125 * tmm.el (tmm--history): New dynamic variable.
14126 (tmm-prompt): Use tmm--history in place of `history'. (Bug#15623)
14128 2013-10-16 Michael Albinus <michael.albinus@gmx.de>
14130 * net/tramp-smb.el (tramp-smb-acl-program): New customer option.
14131 (tramp-smb-errors): Add error messages.
14132 (tramp-smb-actions-with-acl): New defconst.
14133 (tramp-smb-file-name-handler-alist) <set-file-acl>: Add handler.
14134 (tramp-smb-action-with-acl, tramp-smb-handle-set-file-acl): New defuns.
14135 (tramp-smb-handle-file-acl): Rewrite, using "smbcacls".
14136 (tramp-smb-handle-file-attributes): Simplify test for "stat" capability.
14137 (tramp-smb-get-stat-capability): Fix tests.
14139 2013-10-16 Dima Kogan <dima@secretsauce.net> (tiny change)
14141 * progmodes/subword.el (subword-capitalize): Fix Stefan's mess
14144 2013-10-16 Glenn Morris <rgm@gnu.org>
14146 * ansi-color.el (ansi-color-drop-regexp):
14147 Add 1J, 1K, 2K. (Bug#15617)
14149 * files.el (hack-local-variables--warned-lexical): New.
14150 (hack-local-variables):
14151 Warn about misplaced lexical-binding. (Bug#15616)
14153 * net/eww.el (eww-render): Always set eww-current-url,
14154 and update header line. (Bug#15622)
14155 (eww-display-html): ... Rather than just doing it here.
14157 2013-10-15 Eli Zaretskii <eliz@gnu.org>
14159 * menu-bar.el (tty-menu-navigation-map): Bind mouse wheels to TTY
14160 menu navigations commands.
14162 2013-10-14 Dima Kogan <dima@secretsauce.net> (tiny change)
14164 * progmodes/subword.el (subword-capitalize): Be careful when
14165 the search for [[:alpha:]] fails (bug#15580).
14167 2013-10-14 Eli Zaretskii <eliz@gnu.org>
14169 * menu-bar.el (tty-menu-navigation-map): Bind shifted mouse clicks
14170 to commands that scroll the menu.
14172 2013-10-14 Dmitry Gutov <dgutov@yandex.ru>
14174 * progmodes/ruby-mode.el (ruby-smie--args-separator-p):
14175 Handle methods ending with `?' and `!'.
14177 2013-10-14 Akinori MUSHA <knu@iDaemons.org>
14179 * progmodes/ruby-mode.el (ruby-encoding-map): Add a mapping from
14180 `japanese-cp932' to `cp932' to fix the problem where saving a
14181 source file written in Shift_JIS twice would end up having
14182 `coding: japanese-cp932' which Ruby could not recognize.
14183 (ruby-mode-set-encoding): Add support for encodings mapped to nil
14184 in `ruby-encoding-map'.
14185 (ruby-encoding-map): Map `us-ascii' to nil by default, meaning it
14186 doesn't need to be explicitly declared in magic comment.
14187 (ruby-encoding-map): Add type declaration for better customize UI.
14189 2013-10-13 Glenn Morris <rgm@gnu.org>
14191 * progmodes/sh-script.el (sh-mark-line, sh-learn-buffer-indent):
14192 Occur buffers are read-only. http://bugs.debian.org/720775
14194 * emacs-lisp/authors.el (authors-fixed-entries):
14195 Comment out old alpha stuff.
14197 2013-10-13 Dmitry Gutov <dgutov@yandex.ru>
14199 * progmodes/ruby-mode.el (ruby-mode): Add `ruby-mode-set-encoding'
14200 to `after-save-hook' instead of `before-save-hook'.
14201 (ruby-mode-set-encoding): Use the value of coding system used to
14202 write the file. Call `basic-save-buffer-1' after modifying the
14205 2013-10-13 Alan Mackenzie <acm@muc.de>
14207 Fix indentation/fontification of Java enum with
14208 "implements"/generic.
14210 * progmodes/cc-engine.el (c-backward-over-enum-header):
14211 Extracted from the three other places and enhanced to handle generics.
14212 (c-inside-bracelist-p): Uses new function above.
14213 * progmodes/cc-fonts.el (c-font-lock-declarations): Uses new
14215 (c-font-lock-enum-tail): Uses new function above.
14217 2013-10-13 Kenichi Handa <handa@gnu.org>
14219 * international/mule-cmds.el (select-safe-coding-system): Remove a
14220 superfluous condition in chekcing whether a coding system is safe
14223 2013-10-13 Oleh Krehel <ohwoeowho@gmail.com>
14225 * replace.el (how-many): Fix rstart and !rend case. (Bug#15589)
14227 2013-10-13 Andreas Politz <politza@hochschule-trier.de>
14229 * progmodes/sql.el (sql-add-product): Fix paren typo. (Bug#15435)
14231 2013-10-13 Glenn Morris <rgm@gnu.org>
14233 * menu-bar.el (menu-bar-update-buffers):
14234 Unify Buffers menu prompt string. (Bug#15576)
14236 * face-remap.el (text-scale-adjust): Doc fix. (Bug#15434)
14238 * emacs-lisp/authors.el (authors-aliases, authors-ignored-files):
14240 (authors-fixed-entries): Use accented form of name.
14242 2013-10-12 Stefan Monnier <monnier@iro.umontreal.ca>
14244 * progmodes/ruby-mode.el (ruby-smie-grammar): Add rule for paren-free
14245 method calls (bug#15594).
14246 (ruby-smie--args-separator-p): New function.
14247 (ruby-smie--forward-token, ruby-smie--backward-token): Use it to
14248 recognize paren-free method calls.
14250 * isearch.el (isearch-pre-command-hook): Don't build in knowledge about
14251 internals of universal-argument.
14253 2013-10-11 Eli Zaretskii <eliz@gnu.org>
14255 * menu-bar.el (tty-menu-navigation-map): Remap F10 to tty-menu-exit.
14256 Bind all menu-bar sequences to tty-menu-exit -- this pops down a
14257 dropped menu on second mouse click on the menu bar.
14259 2013-10-11 Stefan Monnier <monnier@iro.umontreal.ca>
14261 * progmodes/sh-script.el: Provide simpl(e|istic) completion.
14262 (explicit-shell-file-name): Declare.
14263 (sh--vars-before-point, sh--cmd-completion-table): New functions.
14264 (sh-completion-at-point-function): New function.
14266 (sh-smie--keyword-p): Remove unused argument.
14267 (sh-smie-sh-backward-token, sh-smie-rc-backward-token): Remove unused
14269 (sh-set-shell): Always setup SMIE, even if we use the
14270 old indentation code.
14272 2013-10-11 Dmitry Gutov <dgutov@yandex.ru>
14274 * progmodes/ruby-mode.el (ruby-smie--implicit-semi-p): Split the
14276 (ruby-smie-rules): Simplify the "do" rule. The cases when the
14277 predicate would return nil are almost non-existent.
14278 (ruby-smie--redundant-do-p): Include "until" and "for" statements.
14280 * emacs-lisp/smie.el (smie--matching-block-data): Invalidate the
14281 cache also after commands that modify the buffer but don't move
14284 2013-10-10 Stefan Monnier <monnier@iro.umontreal.ca>
14286 * env.el (substitute-env-in-file-name): New function.
14287 (substitute-env-vars): Extend the meaning of the optional arg.
14289 2013-10-10 Eli Zaretskii <eliz@gnu.org>
14291 * term/w32-win.el (dynamic-library-alist): Define separate lists
14292 of GIF DLLs for versions before and after 5.0.0 of giflib.
14295 2013-10-10 João Távora <joaotavora@gmail.com>
14297 * vc/vc.el (vc-diff-build-argument-list-internal): If the file is
14298 not locked, use last revision and current source as
14299 defaults. (Bug#15569)
14301 2013-10-10 Masatake YAMATO <yamato@redhat.com>
14303 * menu-bar.el (menu-bar-open): Don't use popup-menu if
14304 menu-bar is hidden.
14306 2013-10-10 Martin Rudalics <rudalics@gmx.at>
14308 * window.el (pop-to-buffer-same-window): Fix doc-string.
14311 2013-10-10 Stefan Monnier <monnier@iro.umontreal.ca>
14313 * menu-bar.el (tty-menu-navigation-map): Reduce redundancy.
14315 2013-10-10 Andrei Chițu <andrei.chitu1@gmail.com> (tiny change)
14317 * calendar/icalendar.el (icalendar-import-file):
14318 Fix interactive spec. (Bug#15482)
14320 2013-10-10 Glenn Morris <rgm@gnu.org>
14322 * desktop.el (desktop-save): Default to saving in .emacs.d,
14323 since PWD is no longer in desktop-path by default. (Bug#15319)
14325 * menu-bar.el (menu-bar-options-menu): Remove text-mode auto-fill,
14326 now that text mode has a menu with the same entry.
14327 (menu-bar-text-mode-auto-fill): Remove now unused func.
14328 * textmodes/text-mode.el (text-mode-map):
14329 Use auto-fill help text from menu-bar.el.
14331 2013-10-10 John Anthony <john@jo.hnanthony.com>
14333 * textmodes/text-mode.el (text-mode-map): Add a menu. (Bug#15562)
14335 2013-10-09 Juri Linkov <juri@jurta.org>
14337 * isearch.el (isearch-pre-command-hook): Use this-single-command-keys
14338 instead of this-command-keys. Add universal-argument-more and
14339 universal-argument-minus to the list of prefix commands. (Bug#15568)
14341 2013-10-09 Glenn Morris <rgm@gnu.org>
14343 * vc/vc-svn.el (vc-svn-create-repo):
14344 Expand paths in file://... url. (Bug#15446)
14346 * emacs-lisp/authors.el (authors-aliases, authors-fixed-case):
14348 (authors): Remove unused local variables.
14350 2013-10-09 Stefan Monnier <monnier@iro.umontreal.ca>
14352 * profiler.el: Create a more coherent calltree from partial backtraces.
14353 (profiler-format): Hide the tail with `invisible' so that C-s can still
14354 find the hidden elements.
14355 (profiler-calltree-depth): Don't recurse so enthusiastically.
14356 (profiler-function-equal): New hash-table-test.
14357 (profiler-calltree-build-unified): New function.
14358 (profiler-calltree-build): Use it.
14359 (profiler-report-make-name-part): Indent the calltree less.
14360 (profiler-report-mode): Add visibility specs for profiler-format.
14361 (profiler-report-expand-entry, profiler-report-toggle-entry):
14362 Expand the whole subtree when provided with a prefix arg.
14364 2013-10-09 Dmitry Gutov <dgutov@yandex.ru>
14366 * progmodes/ruby-mode.el (ruby-smie-rules): Indent after hanging
14368 (ruby-smie--implicit-semi-p): Prohibit implicit semicolon after
14369 hanging iuwu-mod token.
14370 (ruby-smie--forward-token): Do not include a dot after a token in
14372 (ruby-smie--backward-token): Likewise.
14374 2013-10-08 Juri Linkov <juri@jurta.org>
14376 * isearch.el (isearch-help-map, isearch-mode-map): Don't bind [t]
14377 to isearch-other-control-char.
14378 (isearch-mode): Add isearch-pre-command-hook to pre-command-hook
14379 and isearch-post-command-hook to post-command-hook.
14380 (isearch-done): Remove isearch-pre-command-hook from pre-command-hook
14381 and isearch-post-command-hook from post-command-hook.
14382 (isearch-unread-key-sequence)
14383 (isearch-reread-key-sequence-naturally)
14384 (isearch-lookup-scroll-key, isearch-other-control-char)
14385 (isearch-other-meta-char): Remove functions.
14386 (isearch-pre-command-hook, isearch-post-command-hook):
14387 New functions based on isearch-other-meta-char rewritten
14388 relying on the new behavior of overriding-terminal-local-map
14389 that does not replace the local keymaps any more. (Bug#15200)
14391 2013-10-08 Eli Zaretskii <eliz@gnu.org>
14393 Support menus on text-mode terminals.
14394 * tmm.el (tmm-menubar): Adapt doc string to TTY menus
14397 * tooltip.el (tooltip-mode): Don't error out on TTYs.
14399 * menu-bar.el (popup-menu, popup-menu-normalize-position):
14400 Move here from mouse.el.
14401 (popup-menu): Support menu-bar navigation on TTYs using C-f/C-b
14403 (tty-menu-navigation-map): New map for TTY menu navigation.
14405 * loadup.el ("tooltip"): Load even if x-show-tip is not available.
14407 * frame.el (display-mouse-p): Report text-mode mouse as available
14409 (display-popup-menus-p): Report availability if mouse is
14410 available; don't condition on window-system.
14412 * faces.el (tty-menu-enabled-face, tty-menu-disabled-face)
14413 (tty-menu-selected-face): New faces.
14415 2013-10-08 Stefan Monnier <monnier@iro.umontreal.ca>
14417 * emacs-lisp/lisp-mode.el: Font-lock cl-lib constructs.
14418 (lisp-el-font-lock-keywords, lisp-el-font-lock-keywords-1)
14419 (lisp-el-font-lock-keywords-2, lisp-cl-font-lock-keywords)
14420 (lisp-cl-font-lock-keywords-1, lisp-cl-font-lock-keywords-2):
14422 (lisp-mode-variables): New `elisp' argument.
14423 (emacs-lisp-mode): Use it.
14424 * font-lock.el (lisp-font-lock-keywords, lisp-font-lock-keywords-1)
14425 (lisp-font-lock-keywords-2): Move to lisp-mode.el.
14427 * indent.el: Use lexical-binding.
14428 (indent-region): Add progress reporter.
14429 (tab-stop-list): Make it implicitly extend to infinity by repeating the
14431 (indent--next-tab-stop): New function to implement this behavior.
14432 (tab-to-tab-stop, move-to-tab-stop): Use it.
14434 2013-10-08 Teemu Likonen <tlikonen@iki.fi>
14436 * indent.el (indent-rigidly--current-indentation): New function.
14437 (indent-rigidly-map): New var.
14438 (indent-rigidly): Use it to provide interactive mode (bug#8196).
14440 2013-10-08 Bastien Guerry <bzg@gnu.org>
14442 * register.el (insert-register): Fix 2013-10-07 change.
14444 2013-10-08 Stefan Monnier <monnier@iro.umontreal.ca>
14446 * progmodes/perl-mode.el: Use lexical-binding.
14447 Remove redundant :group args.
14448 (perl-nochange): Change default to be closer to other major modes's
14450 (perl-indent-line): Don't consider text on current line as a
14451 valid beginning of function from which to indent.
14453 * emacs-lisp/backquote.el (backquote-process): Catch uses of , and ,@
14454 with more than one argument (bug#15538).
14456 * mpc.el (mpc-songs-jump-to): Adjust to different playlist format.
14458 * vc/pcvs.el: Use lexical-binding.
14459 (cvs-temp-buffer, cvs-make-cvs-buffer): Pass some vars in the lexical
14460 environment of `eval'.
14461 (cvs-mode-run, cvs-mode-do): Change `postproc' to be a function rather
14462 than a list of expressions. Adjust callers.
14463 * vc/pcvs-defs.el (cvs-postprocess): Remove, unused.
14465 2013-10-07 Dmitry Gutov <dgutov@yandex.ru>
14467 * progmodes/ruby-mode.el (ruby-smie--implicit-semi-p): Handle the
14468 case of the dot in a chained method call being on the following line.
14470 2013-10-07 Stefan Monnier <monnier@iro.umontreal.ca>
14472 * electric.el (electric-indent-inhibit): New var.
14473 (electric-indent-post-self-insert-function): Use it.
14474 * progmodes/python.el (python-mode): Set it.
14476 * progmodes/ruby-mode.el (ruby-smie-rules): Tweak handling of
14479 * emacs-lisp/smie.el (smie-next-sexp): Refine last fix.
14481 * textmodes/css-mode.el (css-smie-rules): Fix indentation (bug#15467).
14482 (css-mode): Use electric-indent-chars.
14484 * nxml/nxml-mode.el: Use lexical-binding and syntax-propertize.
14485 (font-lock-beg, font-lock-end): Move before first use.
14486 (nxml-mode): Use syntax-propertize-function.
14487 (nxml-after-change, nxml-after-change1): Adjust accordingly.
14488 (nxml-extend-after-change-region): Remove.
14489 * nxml/xmltok.el: Use lexical-binding.
14490 (xmltok-save): Use `declare'.
14491 (xmltok-unclosed-reparse-p, xmltok-semi-closed-reparse-p): Remove.
14492 * nxml/nxml-util.el: Use lexical-binding.
14493 (nxml-with-degradation-on-error, nxml-with-invisible-motion):
14495 * nxml/nxml-ns.el: Use lexical-binding.
14496 (nxml-ns-save): Use `declare'.
14497 (nxml-ns-prefixes-for): Avoid add-to-list.
14498 * nxml/rng-match.el: Use lexical-binding.
14499 (rng--ipattern): Use cl-defstruct.
14500 (rng-compute-start-tag-open-deriv, rng-compute-start-attribute-deriv)
14501 (rng-cons-group-after, rng-subst-group-after)
14502 (rng-subst-interleave-after, rng-apply-after, rng-compute-data-deriv):
14503 Use closures instead of `(lambda...).
14505 2013-10-07 Michael Albinus <michael.albinus@gmx.de>
14507 * net/tramp.el (tramp-handle-insert-file-contents): Improve handling
14510 * net/tramp-gvfs.el (tramp-gvfs-file-name-handler-alist):
14511 Use `tramp-handle-insert-file-contents'.
14512 (tramp-gvfs-handle-insert-file-contents): Remove function.
14514 * net/tramp-sh.el (tramp-sh-handle-insert-directory):
14515 Use `save-restriction' in order to keep markers.
14517 * net/trampver.el: Update release number.
14519 2013-10-07 Stefan Monnier <monnier@iro.umontreal.ca>
14521 * progmodes/compile.el (compilation-parse-errors):
14522 Use compilation--put-prop.
14523 (compilation--ensure-parse): Check compilation-multiline.
14525 * emacs-lisp/easymenu.el (easy-menu-create-menu): Use closures.
14527 * emacs-lisp/lisp-mode.el (eval-defun-2): Simplify, using
14530 * emacs-lisp/tq.el (tq-create): Use a closure instead of `(lambda...).
14532 * progmodes/ruby-mode.el: Fix recently added tests.
14533 (ruby-smie-grammar): Add - and +.
14534 (ruby-smie--redundant-do-p, ruby-smie--forward-id)
14535 (ruby-smie--backward-id): New functions.
14536 (ruby-smie--forward-token, ruby-smie--backward-token): Use them.
14537 (ruby-smie-rules): Handle hanging do. Get rid of hack, not needed
14540 2013-10-07 Leo Liu <sdl.web@gmail.com>
14542 * register.el (register-preview-delay)
14543 (register-preview-functions): New variables.
14544 (register-read-with-preview, register-preview)
14545 (register-describe-oneline): New functions.
14546 (point-to-register, window-configuration-to-register)
14547 (frame-configuration-to-register, jump-to-register)
14548 (number-to-register, view-register, insert-register)
14549 (copy-to-register, append-to-register, prepend-to-register)
14550 (copy-rectangle-to-register): Use register-read-with-preview to
14551 read register. (Bug#15525)
14553 2013-10-06 Dato Simó <dato@net.com.org.es> (tiny change)
14555 * net/network-stream.el (network-stream-open-starttls): Don't add
14556 --insecure if it's already present, because that gnutls-cli
14557 rejects getting that parameter twice.
14559 2013-10-06 Dmitry Gutov <dgutov@yandex.ru>
14561 * progmodes/ruby-mode.el (ruby-smie-rules): Dedent `ensure'
14564 2013-10-05 Dmitry Gutov <dgutov@yandex.ru>
14566 * newcomment.el (comment-use-global-state): Change default value
14567 to t, mark obsolete (Bug#15251).
14568 (comment-beginning): In addition to `comment-to-syntax', check the
14569 value of `comment-use-global-state'.
14571 2013-10-05 Stefan Monnier <monnier@iro.umontreal.ca>
14573 * progmodes/ruby-mode.el (ruby-use-smie): Change default.
14574 (ruby-comment-column): Follow the global default, by default.
14575 (ruby-smie-grammar): Add assignment syntax.
14576 (ruby-smie--implicit-semi-p): No implicit semi-colon after an
14577 open-paren, a comma, or a \.
14578 (ruby-smie--forward-token, ruby-smie--backward-token): Handle heredocs,
14579 and line continuations.
14580 (ruby-smie-rules): Adjust handling of open-paren, now that it's never
14581 followed by implicit semi-colons. Add rule for string concatenation
14582 and for indentation at BOB.
14583 (ruby-forward-sexp, ruby-backward-sexp): Adjust for when SMIE is in use.
14585 * emacs-lisp/smie.el (smie-next-sexp): Don't go back to pos before
14586 calling next-sexp, since next-token may have skipped chars which
14587 next-sexp doesn't know should be skipped!
14589 2013-10-05 Leo Liu <sdl.web@gmail.com>
14591 * progmodes/octave.el (octave-send-region):
14592 Call compilation-forget-errors.
14594 2013-10-04 Xue Fuqiao <xfq.free@gmail.com>
14596 * vc/vc-svn.el (vc-svn-find-admin-dir):
14597 * vc/vc-rcs.el (vc-rcs-find-admin-dir):
14598 * vc/vc-mtn.el (vc-mtn-find-admin-dir):
14599 * vc/vc-cvs.el (vc-cvs-find-admin-dir):
14600 * vc/vc-arch.el (vc-arch-find-admin-dir): New functions.
14602 2013-10-04 Stefan Monnier <monnier@iro.umontreal.ca>
14604 * textmodes/css-mode.el (css-smie-rules): Toplevel's a list (bug#15467).
14606 2013-10-04 Stefan Monnier <monnier@iro.umontreal.ca>
14608 * subr.el (read-passwd): Hide chars even when called within a context
14609 where after-change-functions is disabled (bug#15501).
14610 (set-temporary-overlay-map): Don't remove oneself from pre-command-hook
14611 until we removed ourself from overriding-terminal-local-map.
14613 2013-10-04 Leo Liu <sdl.web@gmail.com>
14615 * progmodes/octave.el (inferior-octave-mode):
14616 Call compilation-forget-errors.
14618 2013-10-04 Xue Fuqiao <xfq.free@gmail.com>
14620 * emacs-lisp/syntax.el (syntax-ppss): Doc fix.
14622 2013-10-04 Michael Albinus <michael.albinus@gmx.de>
14624 * net/secrets.el (secrets-create-collection): Add optional
14625 argument ALIAS. Use proper Label keyword. Append ALIAS as
14626 dbus-call-method argument. (Bug#15516)
14628 2013-10-04 Leo Liu <sdl.web@gmail.com>
14630 * progmodes/octave.el (inferior-octave-error-regexp-alist)
14631 (inferior-octave-compilation-font-lock-keywords): New variables.
14632 (compilation-error-regexp-alist)
14633 (compilation-mode-font-lock-keywords): Defvar to pacify compiler.
14634 (inferior-octave-mode): Use compilation-shell-minor-mode.
14636 2013-10-04 Jorgen Schaefer <forcer@forcix.cx>
14638 * minibuffer.el (completion--replace): Be careful that `end' might be
14641 2013-10-03 Daiki Ueno <ueno@gnu.org>
14643 Add support for package signature checking.
14644 * emacs-lisp/package.el (url-http-file-exists-p)
14645 (epg-make-context, epg-context-set-home-directory)
14646 (epg-verify-string, epg-context-result-for)
14647 (epg-signature-status, epg-signature-to-string)
14648 (epg-check-configuration, epg-configuration)
14649 (epg-import-keys-from-file): Declare.
14650 (package-check-signature): New user option.
14651 (package-unsigned-archives): New user option.
14652 (package-desc): Add `signed' field.
14653 (package-load-descriptor): Set `signed' field if .signed file exists.
14654 (package--archive-file-exists-p): New function.
14655 (package--check-signature): New function.
14656 (package-install-from-archive): Check package signature.
14657 (package--download-one-archive): Check archive signature.
14658 (package-delete): Remove .signed file.
14659 (package-import-keyring): New command.
14660 (package-refresh-contents): Import default keyring.
14661 (package-desc-status): Add "unsigned" status.
14662 (describe-package-1, package-menu--print-info)
14663 (package-menu-mark-delete, package-menu--find-upgrades)
14664 (package-menu--status-predicate): Support "unsigned" status.
14666 2013-10-03 Stefan Monnier <monnier@iro.umontreal.ca>
14668 * emacs-lisp/cconv.el (cconv-convert, cconv-analyse-form): Adjust for
14669 the new compilation scheme using the new byte-codes.
14671 * emacs-lisp/bytecomp.el (byte-pushcatch, byte-pushconditioncase)
14672 (byte-pophandler): New byte codes.
14673 (byte-goto-ops): Adjust accordingly.
14674 (byte-compile--use-old-handlers): New var.
14675 (byte-compile-catch): Use new byte codes depending on
14676 byte-compile--use-old-handlers.
14677 (byte-compile-condition-case--old): Rename from
14678 byte-compile-condition-case.
14679 (byte-compile-condition-case--new): New function.
14680 (byte-compile-condition-case): New function that dispatches depending
14681 on byte-compile--use-old-handlers.
14682 (byte-compile-unwind-protect): Pass a function to byte-unwind-protect
14685 * emacs-lisp/byte-opt.el (byte-optimize-form-code-walker):
14686 Optimize under `condition-case' and `catch' if
14687 byte-compile--use-old-handlers is nil.
14688 (disassemble-offset): Handle new bytecodes.
14690 2013-10-03 Stefan Monnier <monnier@iro.umontreal.ca>
14692 * subr.el (error): Use `declare'.
14693 (decode-char, encode-char): Use advertised-calling-convention instead
14694 of the docstring to discourage use of the `restriction' arg.
14696 2013-10-03 Daiki Ueno <ueno@gnu.org>
14698 * epg.el (epg-verify-file): Add a comment saying that it does not
14699 notify verification error as a return value nor a signal.
14700 (epg-verify-string): Ditto.
14702 2013-10-02 Kevin Rodgers <kevin.d.rodgers@gmail.com>
14704 * progmodes/compile.el (compilation-start): Try globbing the arg to
14707 2013-10-02 Michael Albinus <michael.albinus@gmx.de>
14709 Sync with Tramp 2.2.8.
14711 * net/tramp-cmds.el (tramp-bug, tramp-append-tramp-buffers):
14712 * net/tramp-cache.el (tramp-cache-print): Use `tramp-compat-funcall'.
14713 * net/trampver.el: Update release number.
14715 2013-10-01 Jan Djärv <jan.h.d@swipnet.se>
14717 * term/ns-win.el (ns-initialize-window-system): Set locale-coding-system
14718 and default-process-coding-system for darwin only.
14720 2013-10-01 Stefan Monnier <monnier@iro.umontreal.ca>
14722 * emacs-lisp/package.el (package-desc): Simplify (bug#15495).
14724 2013-10-01 Mitchel Humpherys <mitch.special@gmail.com> (tiny change)
14726 * vc/vc-git.el (vc-git-grep): Disable pager.
14728 2013-10-01 Dmitry Gutov <dgutov@yandex.ru>
14730 * emacs-lisp/package.el (package-buffer-info, describe-package-1):
14731 Use :url instead of :homepage, as per
14732 http://lists.gnu.org/archive/html/emacs-devel/2013-09/msg00622.html
14734 * newcomment.el (comment-beginning): When `comment-use-syntax' is
14735 non-nil, use `syntax-ppss' (Bug#15251).
14737 2013-09-30 Rüdiger Sonderfeld <ruediger@c-plusplus.de>
14739 * progmodes/octave.el (inferior-octave-startup-file):
14740 Prefer ~/.emacs.d/init_octave.m.
14742 2013-09-29 Dmitry Gutov <dgutov@yandex.ru>
14744 * emacs-lisp/package.el (package-desc-from-define):
14745 Accept additional arguments as plist, convert them to an alist and store
14746 them in the `extras' slot.
14747 (package-generate-description-file): Convert extras alist back to
14748 plist and append to the `define-package' form arguments.
14749 (package--alist-to-plist): New function.
14750 (package--ac-desc): Add `extras' slot.
14751 (package--add-to-archive-contents): Check if the archive-contents
14752 vector is long enough, and if it is, pass its `extras' slot value
14753 to `package-desc-create'.
14754 (package-buffer-info): Call `lm-homepage', pass the returned value
14755 to `package-desc-from-define'.
14756 (describe-package-1): Render the homepage button (Bug#13291).
14758 * emacs-lisp/package-x.el (package-upload-buffer-internal):
14759 Pass `extras' slot from `package-desc' to `package-make-ac-desc'.
14761 2013-09-29 Jan Djärv <jan.h.d@swipnet.se>
14763 * term/ns-win.el (ns-initialize-window-system): Set locale-coding-system
14764 and default-process-coding-system to utf-8-unix (Bug#15402).
14766 2013-09-29 Xue Fuqiao <xfq.free@gmail.com>
14768 * subr.el (looking-back): Do not recommend using looking-back.
14770 2013-09-28 Alan Mackenzie <acm@muc.de>
14772 Fix indentation/fontification of Java enum with "implements".
14774 * progmodes/cc-langs.el (c-postfix-decl-spec-key): New variable, a
14775 regexp which matches "implements", etc., in Java.
14776 * progmodes/cc-engine.el (c-inside-bracelist-p): Check for extra
14777 specifier clauses coming after "enum".
14778 * progmodes/cc-fonts.el (c-font-lock-declarations)
14779 (c-font-lock-enum-tail): Check for extra specifier clauses coming
14782 2013-09-28 Jan Djärv <jan.h.d@swipnet.se>
14784 * faces.el (region): Change ns_selection_color to
14785 ns_selection_fg_color, add ns_selection_bg_color.
14787 2013-09-28 Leo Liu <sdl.web@gmail.com>
14789 * progmodes/octave.el (inferior-octave-completion-table)
14790 (inferior-octave-completion-at-point): Minor tweaks.
14792 * textmodes/ispell.el (ispell-lookup-words): Rename from
14793 lookup-words. (Bug#15460)
14794 (lookup-words): Obsolete.
14795 (ispell-complete-word, ispell-command-loop): All uses changed.
14797 2013-09-28 Rüdiger Sonderfeld <ruediger@c-plusplus.de>
14799 * progmodes/octave.el (octave-mode-map): Bind octave-send-buffer.
14800 (octave-mode-menu): Add octave-send-buffer.
14801 (octave-send-buffer): New function.
14803 2013-09-28 Rüdiger Sonderfeld <ruediger@c-plusplus.de>
14805 * progmodes/octave.el (octave-mode-map): Add key binding for
14807 (octave-mode-menu): Add octave-lookfor.
14808 (inferior-octave-mode-map, octave-help-mode-map): Bind C-ha to
14810 (octave-lookfor): New function.
14812 2013-09-28 Stefan Monnier <monnier@iro.umontreal.ca>
14814 * emacs-lisp/cl-macs.el (cl--loop-destr-temps): Remove.
14815 (cl--loop-iterator-function): Rename from cl--loop-map-form and change
14817 (cl--loop-set-iterator-function): New function.
14818 (cl-loop): Adjust accordingly, so as not to use cl-subst.
14819 (cl--parse-loop-clause): Adjust all uses of cl--loop-map-form.
14820 Bind `it' with `let' instead of substituting it with `cl-subst'.
14821 (cl--unused-var-p): New function.
14822 (cl--loop-let): Don't use the cl--loop-destr-temps hack any more.
14823 Eliminate some unused variable warnings (bug#15326).
14825 2013-09-27 Tassilo Horn <tsdh@gnu.org>
14827 * doc-view.el (doc-view-scale-reset): Rename from
14828 `doc-view-reset-zoom-level'.
14829 (doc-view-scale-adjust): New command.
14830 (doc-view-mode-map): Remap `text-scale-adjust' bindings to
14831 `doc-view-scale-adjust'.
14833 2013-09-26 Tassilo Horn <tsdh@gnu.org>
14835 * doc-view.el (doc-view-reset-zoom-level): New command.
14836 (doc-view-mode-map): Remap text-scale-adjust bindings to doc-view
14837 zoom commands (bug#15466).
14839 2013-09-26 Kenichi Handa <handa@gnu.org>
14841 * international/quail.el (quail-help): Make it not a command.
14843 2013-09-26 Leo Liu <sdl.web@gmail.com>
14845 * minibuffer.el (completion-all-sorted-completions): Make args
14846 optional as they are.
14848 2013-09-25 Daniel Colascione <dancol@dancol.org>
14850 * emacs-lisp/cl-macs.el (cl-type-spec): Tell edebug what type
14851 specs are and that they're not evaluated.
14853 2013-09-24 Sam Steingold <sds@gnu.org>
14855 * midnight.el (clean-buffer-list-kill-regexps)
14856 (clean-buffer-list-kill-buffer-names): Update for the new Man
14857 buffer naming which includes the object name.
14859 2013-09-23 Stefan Monnier <monnier@iro.umontreal.ca>
14861 * eshell/esh-cmd.el (eshell--sep-terms): New var.
14862 (eshell-parse-command, eshell-parse-pipeline): Use it since
14863 eshell-separate-commands requires a dynamic scoped var.
14864 Reported by Jan Moringen <jmoringe@techfak.uni-bielefeld.de>.
14866 2013-09-23 Leo Liu <sdl.web@gmail.com>
14868 * autoinsert.el (auto-insert-alist): Make the value of
14869 lexical-binding match its file setting.
14871 2013-09-23 Juanma Barranquero <lekktu@gmail.com>
14873 * vc/vc-sccs.el (vc-sccs-search-project-dir): Mark unused argument.
14875 * autoarg.el (autoarg-kp-digit-argument):
14876 * electric.el (Electric-command-loop):
14877 * kmacro.el (kmacro-step-edit-insert):
14878 Do not set universal-argument-num-events.
14880 2013-09-22 Leo Liu <sdl.web@gmail.com>
14882 * files.el (interpreter-mode-alist): Add octave.
14884 2013-09-21 Alan Mackenzie <acm@muc.de>
14886 C++: fontify identifier in declaration following "public:" correctly.
14887 * progmodes/cc-langs.el (c-decl-start-colon-kwd-re): New lang var
14888 to match "public", etc.
14889 (c-decl-prefix-re): Add ":" into the C++ value.
14890 * progmodes/cc-engine.el (c-find-decl-prefix-search): Refactor a
14891 bit. Add a check for a ":" preceded by "public", etc.
14893 2013-09-21 Eli Zaretskii <eliz@gnu.org>
14895 * files.el (auto-mode-alist): Support OBJFILE-gdb.gdb script files
14896 recognized by GDB 7.5 and later.
14898 2013-09-21 Xue Fuqiao <xfq.free@gmail.com>
14900 * vc/vc-dir.el (vc-dir-mode-map): Add keybinding for vc-log-incoming.
14902 2013-09-20 Stefan Monnier <monnier@iro.umontreal.ca>
14904 * subr.el (internal--call-interactively): New const.
14905 (called-interactively-p): Use it (bug#3984).
14907 2013-09-20 Xue Fuqiao <xfq.free@gmail.com>
14909 * vc/pcvs.el (cvs-mode-ignore):
14910 * vc/vc-cvs.el (vc-cvs-ignore, vc-cvs-append-to-ignore):
14911 Rename cvs-append-to-ignore to vc-cvs-append-to-ignore.
14913 2013-09-19 Stefan Monnier <monnier@iro.umontreal.ca>
14915 * eshell/em-ls.el: Use advice. Remove redundant :group keywords.
14916 (eshell-ls-orig-insert-directory): Remove.
14917 (eshell-ls-unload-hook): Not a defcustom any more. Use advice-remove.
14918 (eshell-ls-use-in-dired): Use advice-add/remove.
14919 (eshell-ls--insert-directory): Rename from eshell-ls-insert-directory.
14920 Add `orig-fun' arg for use in :around advice.
14921 Make it check (redundantly) eshell-ls-use-in-dired.
14923 2013-09-19 Glenn Morris <rgm@gnu.org>
14925 * emacs-lisp/cl-macs.el (cl-defsubst): Remove unused local `pbody'.
14927 * simple.el (x-selection-owner-p, x-selection-exists-p): Declare.
14929 * emacs-lisp/eieio.el (class-parent): Undo previous change.
14931 2013-09-19 Michael Albinus <michael.albinus@gmx.de>
14933 * net/tramp-sh.el (tramp-get-remote-id): Do not raise an error.
14934 (tramp-get-remote-uid-with-id, tramp-get-remote-gid-with-id)
14935 (tramp-get-remote-python): New defuns.
14936 (tramp-get-remote-uid-with-perl)
14937 (tramp-get-remote-gid-with-perl): New defuns. Perl code
14938 contributed by yary <not.com@gmail.com> (tiny change).
14939 (tramp-get-remote-uid-with-python)
14940 (tramp-get-remote-gid-with-python): New defuns. Python code
14941 contributed by Andrey Tykhonov <atykhonov@gmail.com> (tiny change).
14942 (tramp-get-remote-uid, tramp-get-remote-gid): Use new defuns.
14944 2013-09-19 Glenn Morris <rgm@gnu.org>
14946 * emacs-lisp/eieio.el (class-parent): Don't use defalias with macros.
14948 * eshell/em-unix.el (eshell-remove-entries):
14949 Rename argument to avoid name-clash with global `top-level'.
14951 * eshell/esh-proc.el (eshell-kill-process-function):
14952 Remove eshell-reset-after-proc from eshell-kill-hook if present.
14953 (eshell-reset-after-proc): Remove unused arg `proc'.
14955 * eshell/esh-util.el (eshell-read-hosts-file): Use `filename' arg.
14956 (directory-files-and-attributes): Mark unused arg.
14958 * eshell/em-unix.el (eshell-remove-entries):
14959 Remove unused arg `path'. Update callers.
14961 * eshell/em-hist.el (eshell-hist-parse-arguments):
14962 Remove unused arg `silent'. Update callers.
14964 * eshell/em-ls.el (eshell-ls-use-in-dired): Use `symbol' arg.
14965 Fix (f)boundp mix-up.
14967 * eshell/em-smart.el (eshell-smart-scroll-window)
14968 (eshell-disable-after-change):
14969 * eshell/em-term.el (eshell-term-sentinel): Mark unused arg.
14971 2013-09-18 Alan Mackenzie <acm@muc.de>
14973 Fix fontification of type when followed by "const".
14974 * progmodes/cc-engine.el (c-forward-decl-or-cast-1): Don't exclude
14975 "known" types from fontification.
14977 2013-09-18 Glenn Morris <rgm@gnu.org>
14979 * emacs-lisp/chart.el (x-display-color-cells): Declare.
14980 (chart-face-list): Drop Emacsen without display-color-p.
14982 * net/eww.el (libxml-parse-html-region): Declare.
14983 (eww-display-html): Explicit error if no libxml2 support.
14985 * doc-view.el (doc-view-mode): Silence --without-x compilation.
14987 * image.el (image-type-from-buffer, image-multi-frame-p):
14988 Remove --without-x warning/error.
14990 * mouse.el (mouse-yank-primary):
14991 * term.el (term-mouse-paste):
14992 Reorder to silence --without-x compilation.
14994 * mpc.el (doc-view-mode): Silence --without-x compilation.
14996 * mail/rmailmm.el (rmail-mime-set-bulk-data):
14997 Silence --without-x compilation.
14999 * progmodes/gud.el (gud-find-file, gud-mode):
15000 Silence --without-x compilation.
15001 (tooltip-mode): Declare.
15003 * wdired.el (dired-backup-overwrite): Remove declaration.
15004 (wdired-mode-map): Add doc string.
15006 * custom.el (x-get-resource): Declare.
15008 * eshell/em-glob.el (ange-cache):
15009 * eshell/em-unix.el (ange-cache): Declare.
15011 * faces.el (x-display-list, x-open-connection, x-get-resource):
15014 * follow.el (scroll-bar-toolkit-scroll, scroll-bar-drag)
15015 (scroll-bar-scroll-up, scroll-bar-scroll-down, mwheel-scroll):
15018 * frame.el (x-display-grayscale-p, x-display-name): Declare.
15020 * net/gnutls.el (gnutls-log-level): Declare.
15022 * net/shr.el (image-size, image-animate): Declare.
15024 * simple.el (font-info): Declare.
15026 * subr.el (x-popup-dialog): Declare.
15028 * term/common-win.el (x-select-enable-primary)
15029 (x-last-selected-text-primary, x-last-selected-text-clipboard):
15032 * term/ns-win.el (x-handle-args): Declare.
15034 * term/x-win.el (x-select-enable-clipboard): Declare.
15036 * term/w32-win.el (create-default-fontset): Declare.
15038 * w32-common-fns.el (x-server-version, x-select-enable-clipboard):
15041 * window.el (x-display-pixel-height, tool-bar-lines-needed): Declare.
15042 (fit-frame-to-buffer): Explicit error if --without-x.
15043 (mouse-autoselect-window-select): Silence compiler.
15045 * dframe.el (x-sensitive-text-pointer-shape, x-pointer-shape): Declare.
15047 * eshell/em-cmpl.el (eshell-complete-parse-arguments):
15048 * eshell/em-hist.el (eshell/history, eshell-isearch-backward):
15049 * eshell/em-pred.el (eshell-parse-modifiers, eshell-pred-file-time):
15050 * eshell/esh-util.el (eshell-sublist):
15051 Remove unused local variables.
15053 * eshell/esh-io.el (x-select-enable-clipboard): Declare.
15055 * textmodes/two-column.el: Make 2C-split work for --without-x.
15056 (scroll-bar-columns): Autoload.
15057 (top-level): Require fringe when compiling.
15059 2013-09-18 Leo Liu <sdl.web@gmail.com>
15061 * subr.el (add-hook): Robustify to handle closure as well.
15063 2013-09-17 Glenn Morris <rgm@gnu.org>
15065 * simple.el (messages-buffer-mode-map): Unbind "g".
15067 2013-09-17 Stefan Monnier <monnier@iro.umontreal.ca>
15069 * help-mode.el (help-mode-finish): Use derived-mode-p.
15070 Remove obsolete highlighting.
15072 * play/life.el (life-mode): Use define-derived-mode. Derive from
15074 (life): Let-bind inhibit-read-only.
15075 (life-setup): Avoid `setq'. Use `life-mode'.
15077 * emacs-lisp/package.el (package-generate-autoloads): Remove `require'
15078 which should not be needed any more.
15079 (package-menu-refresh, package-menu-describe-package): Use user-error.
15081 * eshell/esh-cmd.el (eshell-post-rewrite-command-function): New var.
15082 (eshell-post-rewrite-command-hook): Make obsolete.
15083 (eshell-parse-command): Simplify.
15084 (eshell-structure-basic-command): Remove unused arg `vocal-test'.
15085 (eshell--cmd): Declare.
15086 (eshell-parse-pipeline): Remove unused var `final-p'.
15087 Pass a dynvar to eshell-post-rewrite-command-hook.
15088 Implement the new eshell-post-rewrite-command-function.
15089 (eshell-invoke-directly): Remove unused arg `input'.
15090 * eshell/esh-io.el (eshell-io-initialize):
15091 Use eshell-post-rewrite-command-function (bug#15399).
15092 (eshell--apply-redirections): Rename from eshell-apply-redirections;
15093 adjust to new calling convention.
15094 (eshell-create-handles): Rename args to avoid clashing with dynvar
15097 2013-09-17 Glenn Morris <rgm@gnu.org>
15099 * simple.el (messages-buffer-mode): New major mode.
15100 (messages-buffer): New function.
15101 * startup.el (normal-top-level): Switch mode of *Messages* buffer.
15102 * emacs-lisp/ert.el (ert--force-message-log-buffer-truncation)
15103 (ert-run-test): Use `messages-buffer' function.
15104 (ert--force-message-log-buffer-truncation): Ignore read-only.
15105 * help.el (view-echo-area-messages): Use `messages-buffer' function.
15106 * mail/emacsbug.el (report-emacs-bug): Use `messages-buffer' function.
15108 2013-09-17 Stefan Monnier <monnier@iro.umontreal.ca>
15110 * subr.el (eval-after-load): Preserve evaluation order (bug#15389).
15112 * abbrev.el (abbrev--check-chars): Fix thinko (bug#15360).
15114 2013-09-16 Stefan Monnier <monnier@iro.umontreal.ca>
15116 * icomplete.el (icomplete-in-buffer): New var.
15117 (icomplete-pre-command-hook, icomplete-post-command-hook): Remove those
15118 vars and replace them with functions.
15119 (icomplete-minibuffer-setup): Adjust accordingly.
15120 (icomplete--completion-table, icomplete--completion-predicate)
15121 (icomplete--field-string, icomplete--field-beg, icomplete--field-end):
15123 (icomplete-forward-completions, icomplete-backward-completions)
15124 (icomplete-simple-completing-p, icomplete-exhibit)
15125 (icomplete-completions): Use them.
15126 (icomplete--in-region-buffer): New var.
15127 (icomplete--in-region-setup): New function.
15128 (icomplete-mode): Use it.
15130 * eshell/esh-opt.el: Fix last change to set lexical-vars properly
15132 (eshell--do-opts): Rename from eshell-do-opt, remove arg `body-fun',
15133 return args and options.
15134 (eshell-eval-using-options): Use the new return value of
15135 eshell--do-opts to set the options's vars in their scope.
15136 (eshell--set-option): Rename from eshell-set-option.
15137 Add arg `opt-vals'.
15138 (eshell--process-option): Rename from eshell-process-option.
15139 Add arg `opt-vals'.
15140 (eshell--process-args): Use an `opt-vals' alist to store the options's
15141 values during their processing and return them additionally to the
15144 2013-09-15 Dmitry Gutov <dgutov@yandex.ru>
15146 * progmodes/ruby-mode.el (ruby-operator-re): Consider line
15147 continuation character an operator, as far as indentation is
15148 concerned (Bug#15369).
15150 2013-09-15 Martin Rudalics <rudalics@gmx.at>
15152 * window.el (window--state-put-2): Don't process buffer state
15153 when buffer doesn't exist any more (Bug#15382).
15155 2013-09-15 Glenn Morris <rgm@gnu.org>
15157 * eshell/em-unix.el (eshell/rm):
15158 Make -f ignore missing files. (Bug#15373)
15160 * eshell/esh-cmd.el (eshell--local-vars): New variable. (Bug#15372)
15161 (eshell-rewrite-for-command): Add for loop vars to eshell--local-vars.
15162 * eshell/esh-var.el (eshell-get-variable): Respect eshell--local-vars.
15164 2013-09-14 Glenn Morris <rgm@gnu.org>
15166 * eshell/esh-var.el (eshell-variable-aliases-list): Fix doc typo.
15168 2013-09-13 Glenn Morris <rgm@gnu.org>
15170 * dired-x.el (dired-guess-shell-alist-user): Doc fix.
15171 (dired-guess-default): Make `file' available in the env. (Bug#15363)
15173 2013-09-13 Dmitry Antipov <dmantipov@yandex.ru>
15175 * frame.el (x-focus-frame): Mark as declared in frame.c.
15177 2013-09-13 Stefan Monnier <monnier@iro.umontreal.ca>
15179 * ls-lisp.el: Use advice-add.
15180 (original-insert-directory): Remove.
15181 (ls-lisp--insert-directory): Rename from insert-directory; add
15182 `orig-fun' argument.
15183 (insert-directory): Advise.
15185 2013-09-13 Eli Zaretskii <eliz@gnu.org>
15187 * term.el (term-emulate-terminal): Decode the command string
15188 before passing it to term-command-hook. (Bug#15337)
15190 2013-09-13 Glenn Morris <rgm@gnu.org>
15192 * eshell/esh-util.el (ange-cache): Move declaration earlier.
15194 * eshell/esh-ext.el (eshell-search-path): Declare.
15196 * eshell/em-prompt.el (eshell/pwd): Autoload it.
15197 Otherwise an error occurs if eshell-dirs module not loaded.
15199 * progmodes/gdb-mi.el (gud-cont, gud-step): Declare.
15201 2013-09-13 Michael Albinus <michael.albinus@gmx.de>
15203 * net/tramp.el (tramp-check-proper-method-and-host): Rename it from
15204 `tramp-check-proper-host'. Check for a valid method name.
15206 * net/tramp-adb.el (tramp-adb-maybe-open-connection):
15207 * net/tramp-gvfs.el (tramp-gvfs-maybe-open-connection):
15208 * net/tramp-sh.el (tramp-maybe-open-connection):
15209 * net/tramp-smb.el (tramp-smb-maybe-open-connection): Call it.
15211 * net/tramp-cache.el (tramp-cache-print): Don't print text properties
15212 also for hash values.
15214 2013-09-12 Stefan Monnier <monnier@iro.umontreal.ca>
15216 * term/ns-win.el (parameters): Don't declare as dynamic.
15217 (before-make-frame-hook): Don't add ineffective function.
15219 * eshell/*.el: Use lexical-binding (bug#15231).
15221 2013-09-12 Kenichi Handa <handa@gnu.org>
15223 * composite.el (compose-gstring-for-graphic): Handle enclosing mark.
15225 2013-09-12 Glenn Morris <rgm@gnu.org>
15227 * vc/vc-svn.el (vc-svn-dir-status-files, vc-svn-dir-extra-headers)
15228 (vc-svn-ignore, vc-svn-retrieve-tag): Mark unused arguments.
15230 * subr.el (do-after-load-evaluation): Also give compiler warnings
15231 when obsolete files are used (except by obsolete files).
15233 * vc/vc-svn.el (vc-svn-parse-status): If there are multiple files
15234 in the status output, assume `filename' is the first. (Bug#15322)
15236 * vc/vc.el (vc-deduce-fileset): Doc fix.
15238 * calc/calc-help.el (Info-goto-node):
15239 * progmodes/cperl-mode.el (Info-find-node):
15240 * vc/ediff.el (Info-goto-node): Update declarations.
15242 * vc/vc-dispatcher.el (vc-dir-refresh): Declare.
15244 * vc/vc-bzr.el (vc-compilation-mode): Declare.
15245 (vc-bzr-pull): Require vc-dispatcher.
15246 * vc/vc-git.el (vc-compilation-mode): Declare.
15247 (vc-git-pull): Require vc-dispatcher.
15249 * progmodes/ruby-mode.el (ruby-syntax-propertize-function): Declare.
15251 * progmodes/octave.el (help-button-action): Declare.
15253 * shell.el (shell-directory-tracker): Output error as a message
15254 rather than just returning it as a string.
15255 (shell-process-pushd): Remove useless use of message.
15257 * dframe.el (dframe-timer-fn):
15258 * files.el (dir-locals-read-from-file):
15259 * mpc.el (mpc--status-timer-run, mpc--status-idle-timer-run)
15261 * reveal.el (reveal-post-command):
15262 * saveplace.el (load-save-place-alist-from-file):
15263 * shell.el (shell-resync-dirs):
15264 * w32-common-fns.el (x-get-selection-value):
15265 * emacs-lisp/copyright.el (copyright-find-copyright):
15266 * emacs-lisp/eldoc.el (eldoc-print-current-symbol-info):
15267 * emulation/tpu-edt.el (tpu-copy-keyfile):
15268 * play/bubbles.el (bubbles--mark-neighbourhood):
15269 * progmodes/executable.el
15270 (executable-make-buffer-file-executable-if-script-p):
15271 * term/pc-win.el (x-get-selection-value): Use with-demoted-errors.
15273 2013-09-12 Stefan Monnier <monnier@iro.umontreal.ca>
15275 Cleanup Eshell to rely less on dynamic scoping.
15276 * eshell/esh-opt.el (eshell-eval-using-options): Don't bind usage-msg,
15277 last-value, and ext-command here. Bind `args' closer to `body'.
15278 (temp-args, last-value, usage-msg, ext-command, args): Don't defvar.
15279 (eshell--args): Declare new dynamic var.
15280 (eshell-do-opt): Add argument `args'. Bind our own usage-msg,
15281 last-value, and ext-command. Pass `args' to `body'.
15282 (eshell-process-args): Bind eshell--args.
15283 (eshell-set-option): Use eshell--args.
15284 * eshell/eshell.el (eshell): Use derived-mode-p.
15285 * eshell/esh-var.el (eshell-parse-variable): Use backquote.
15286 (eshell-parse-variable-ref): Remove unused vars `end' and `err'.
15287 (eshell-glob-function): Declare.
15288 * eshell/esh-util.el: Require cl-lib.
15289 (eshell-read-hosts-file): Avoid add-to-list.
15290 * eshell/esh-cmd.el (eshell-parse-lisp-argument): Remove unused var
15292 * eshell/em-unix.el (compilation-scroll-output, locate-history-list):
15294 (eshell/diff): Remove unused var `err'.
15295 * eshell/em-rebind.el (eshell-delete-backward-char): Remove unused arg
15297 * eshell/em-pred.el (eshell-parse-modifiers): Remove unused var `err'.
15298 * eshell/em-ls.el (eshell-ls-highlight-alist): Move defvars before
15300 * eshell/em-glob.el (eshell-glob-matches, message-shown):
15301 Move declaration before first use.
15302 * eshell/em-alias.el (eshell-maybe-replace-by-alias): Use backquotes.
15303 * autorevert.el (auto-revert-notify-handler): Use `cl-dolist' since we
15306 2013-09-12 Glenn Morris <rgm@gnu.org>
15308 * term/ns-win.el (global-map): Remove binding for ispell-next,
15309 deleted 1999-05-29. (Bug#15357)
15311 2013-09-11 Glenn Morris <rgm@gnu.org>
15313 * echistory.el (electric-command-history): Remove call to deleted func.
15315 * play/landmark.el (landmark-mode): Fix typos.
15317 * vc/vc-cvs.el (cvs-append-to-ignore): Fix arg spec.
15318 Check cvs-sort-ignore-file is bound.
15320 * savehist.el: No need for cl when compiling on Emacs.
15322 2013-09-11 Stefan Monnier <monnier@iro.umontreal.ca>
15324 * eshell/esh-mode.el (eshell-mode-syntax-table): Fix up initialization
15326 (eshell-self-insert-command, eshell-send-invisible):
15327 Remove unused argument.
15328 (eshell-handle-control-codes): Remove unused var `orig'.
15329 Avoid delete-backward-char.
15331 * files.el (set-auto-mode): Simplify a bit further.
15333 2013-09-11 Glenn Morris <rgm@gnu.org>
15335 * files.el (interpreter-mode-alist): Remove \\` \\' parts.
15336 (set-auto-mode): Don't regexp-quote elements.
15337 * progmodes/python.el (interpreter-mode-alist): Remove \\` \\'.
15338 * progmodes/cc-mode.el (interpreter-mode-alist):
15339 * progmodes/ruby-mode.el (interpreter-mode-alist):
15340 Revert previous change.
15342 2013-09-11 Stefan Monnier <monnier@iro.umontreal.ca>
15344 * play/snake.el (snake-mode):
15345 * play/mpuz.el (mpuz-mode):
15346 * play/landmark.el (lm-mode):
15347 * play/blackbox.el (blackbox-mode):
15348 * play/5x5.el (5x5-mode):
15349 * obsolete/options.el (Edit-options-mode):
15350 * net/quickurl.el (quickurl-list-mode):
15351 * net/newst-treeview.el (newsticker-treeview-mode):
15352 * mail/rmailsum.el (rmail-summary-mode):
15353 * mail/mspools.el (mspools-mode):
15354 * locate.el (locate-mode):
15355 * ibuffer.el (ibuffer-mode):
15356 * emulation/ws-mode.el (wordstar-mode):
15357 * emacs-lisp/debug.el (debugger-mode):
15358 * array.el (array-mode):
15359 * net/eudc.el (eudc-mode): Use define-derived-mode.
15360 * net/mairix.el (mairix-searches-mode-font-lock-keywords):
15361 Move initialization into declaration.
15362 (mairix-searches-mode): Use define-derived-mode.
15363 * net/eudc-hotlist.el (eudc-hotlist-mode): Use define-derived-mode.
15364 (eudc-edit-hotlist): Use dolist.
15365 * man.el (Man-mode-syntax-table): Rename from man-mode-syntax-table.
15366 (Man-mode): Use define-derived-mode.
15367 * info.el (Info-edit-mode-map): Rename from Info-edit-map.
15368 (Info-edit-mode): Use define-derived-mode.
15369 (Info-cease-edit): Use Info-mode.
15370 * eshell/esh-mode.el (eshell-mode-syntax-table): Move initialization
15372 (eshell-mode): Use define-derived-mode.
15373 * chistory.el (command-history-mode-map): Rename from
15374 command-history-map.
15375 (command-history-mode): Use define-derived-mode.
15376 (Command-history-setup): Remove function.
15377 * calc/calc.el (calc-trail-mode-map): New var.
15378 (calc-trail-mode): Use define-derived-mode.
15379 (calc-trail-buffer): Set calc-main-buffer manually.
15380 * bookmark.el (bookmark-insert-annotation): New function.
15381 (bookmark-edit-annotation): Use it.
15382 (bookmark-edit-annotation-mode): Make it a proper major mode.
15383 (bookmark-send-edited-annotation): Use derived-mode-p.
15384 * arc-mode.el (archive-mode): Move kill-all-local-variables a tiny bit
15385 closer to its ideal place. Use \' to match EOS.
15387 * profiler.el (profiler-calltree-find): Use function-equal.
15389 2013-09-10 Glenn Morris <rgm@gnu.org>
15391 * files.el (interpreter-mode-alist): Convert to regexps.
15392 (set-auto-mode): Adapt for this. (Bug#15306)
15393 * progmodes/cperl-mode.el (cperl-clobber-mode-lists):
15394 Comment out unused variable.
15395 * progmodes/cc-mode.el (interpreter-mode-alist):
15396 * progmodes/python.el (interpreter-mode-alist):
15397 * progmodes/ruby-mode.el (interpreter-mode-alist): Convert to regexps.
15398 * progmodes/sh-script.el (sh-set-shell):
15399 No longer use interpreter-mode-alist to get list of shells.
15401 * progmodes/cc-mode.el (awk-mode): Remove duplicate autoload.
15403 2013-09-10 Stefan Monnier <monnier@iro.umontreal.ca>
15405 * simple.el: Use set-temporary-overlay-map for universal-argument.
15406 (universal-argument-map): Don't use default-bindings (bug#15317).
15407 Bind switch-frame explicitly. Replace universal-argument-minus with
15408 a conditional binding.
15409 (universal-argument-num-events, saved-overriding-map): Remove.
15410 (restore-overriding-map): Remove.
15411 (universal-argument--mode): Rename from save&set-overriding-map,
15413 (universal-argument, universal-argument-more, negative-argument)
15414 (digit-argument): Adjust accordingly.
15415 (universal-argument-minus): Remove.
15416 (universal-argument-other-key): Remove.
15418 * subr.el (with-demoted-errors): Add `format' argument.
15420 2013-09-10 Michael Albinus <michael.albinus@gmx.de>
15422 * net/tramp.el (tramp-cleanup): Remove. Functionality added to
15423 `tramp-cleanup-connection'.
15425 * net/tramp-cmds.el (tramp-cleanup-connection): Add optional
15426 parameters KEEP-DEBUG and KEEP-PASSWORD.
15428 * net/tramp.el (tramp-file-name-handler):
15429 * net/tramp-adb.el (tramp-adb-maybe-open-connection):
15430 * net/tramp-sh.el (tramp-open-connection-setup-interactive-shell)
15431 (tramp-maybe-open-connection):
15432 * net/tramp-smb.el (tramp-smb-maybe-open-connection):
15433 Use `tramp-cleanup-connection'.
15435 * net/tramp-sh.el (tramp-maybe-open-connection):
15436 Catch 'uname-changed inside the progress reporter.
15438 2013-09-10 Glenn Morris <rgm@gnu.org>
15440 * simple.el (read-minibuffer): Unbreak it. (Bug#15318)
15442 * dired-x.el (dired-mark-sexp): Unbreak for systems where ls
15443 returns "alternate access method" in mode (eg "-rw-r--r--.").
15445 2013-09-08 Glenn Morris <rgm@gnu.org>
15447 * saveplace.el (load-save-place-alist-from-file):
15448 Demote errors. (Bug#15305)
15450 2013-09-08 Michael Albinus <michael.albinus@gmx.de>
15452 Improve compatibility with older Emacsen, and XEmacs.
15454 * net/tramp.el (tramp-find-method, tramp-find-user): Call `propertize'
15455 only if it is bound. It isn't for XEmacs.
15456 (with-tramp-progress-reporter): Do not let-bind `result'.
15457 This yields to scoping errors in XEmacs.
15458 (tramp-handle-make-auto-save-file-name): New function, moved from
15461 * net/tramp-adb.el (tramp-adb-file-name-handler-alist): Add handler
15462 for `make-auto-save-file-name'.
15463 (tramp-adb--gnu-switches-to-ash):
15464 Use `tramp-compat-replace-regexp-in-string'.
15466 * net/tramp-cache.el (tramp-cache-print): Call
15467 `substring-no-properties' only if it is bound. It isn't for XEmacs.
15469 * net/tramp-cmds.el (tramp-bug): Call `propertize' only if it is
15470 bound. It isn't for XEmacs.
15472 * net/tramp-compat.el (tramp-compat-copy-file):
15473 Catch `wrong-number-of-arguments' error.
15474 (tramp-compat-replace-regexp-in-string): New defun.
15476 * net/tramp-gvfs.el (tramp-gvfs-file-name-handler-alist): Add handler
15477 for `make-auto-save-file-name'.
15478 (tramp-gvfs-handle-copy-file): Use `tramp-compat-funcall' for
15480 (tramp-gvfs-file-gvfs-monitor-file-process-filter)
15481 (tramp-gvfs-file-name): Use `tramp-compat-replace-regexp-in-string'.
15482 (tramp-synce-list-devices): Use `push' instead of `pushnew'.
15484 * net/tramp-gw.el (tramp-gw-open-network-stream):
15485 Use `tramp-compat-replace-regexp-in-string'.
15487 * net/tramp-sh.el (tramp-sh-file-name-handler-alist):
15488 Call `tramp-handle-make-auto-save-file-name'.
15489 (tramp-sh-handle-make-auto-save-file-name): Move to tramp.el.
15490 (tramp-sh-file-gvfs-monitor-dir-process-filter)
15491 (tramp-sh-file-inotifywait-process-filter):
15492 Use `tramp-compat-replace-regexp-in-string'.
15493 (tramp-compute-multi-hops): Use `push' instead of `pushnew'.
15495 * net/tramp-smb.el (tramp-smb-file-name-handler-alist): Add handler
15496 for `make-auto-save-file-name'.
15497 (tramp-smb-handle-copy-directory):
15498 Call `tramp-compat-replace-regexp-in-string'.
15499 (tramp-smb-get-file-entries): Use `push' instead of `pushnew'.
15500 (tramp-smb-handle-copy-file): Improve error message.
15501 (tramp-smb-handle-rename-file): Rename directly only in case
15502 `newname' does not exist yet. This is a restriction of smbclient.
15503 (tramp-smb-maybe-open-connection): Rerun the function only when
15504 `auth-sources' is non-nil.
15506 2013-09-08 Kenichi Handa <handa@gnu.org>
15508 * international/characters.el: Set category "^" (Combining) for
15511 2013-09-07 Alan Mackenzie <acm@muc.de>
15513 Correctly fontify Java class constructors.
15514 * progmodes/cc-langs.el (c-type-decl-suffix-key): Now matches ")"
15516 (c-recognize-typeless-decls): Set the Java value to t.
15517 * progmodes/cc-engine.el (c-forward-decl-or-cast-1):
15518 While handling a "(", add a check for, effectively, Java, and handle a
15519 "typeless" declaration there.
15521 2013-09-07 Roland Winkler <winkler@gnu.org>
15523 * textmodes/bibtex.el (bibtex-biblatex-entry-alist): Add optional
15524 field subtitle for entry type book.
15526 2013-09-06 Stefan Monnier <monnier@iro.umontreal.ca>
15528 * minibuffer.el: Make minibuffer-complete call completion-in-region
15529 rather than other way around.
15530 (completion--some, completion-pcm--find-all-completions):
15531 Don't delay signals when debugging.
15532 (minibuffer-completion-contents): Beware fields within the
15533 minibuffer contents.
15534 (completion-all-sorted-completions): Use defvar-local.
15535 (completion--do-completion, completion--cache-all-sorted-completions)
15536 (completion-all-sorted-completions, minibuffer-force-complete):
15537 Add args `beg' and `end'.
15538 (completion--in-region-1): New fun, extracted from minibuffer-complete.
15539 (minibuffer-complete): Use completion-in-region.
15540 (completion-complete-and-exit): New fun, extracted from
15541 minibuffer-complete-and-exit.
15542 (minibuffer-complete-and-exit): Use it.
15543 (completion--complete-and-exit): Rename from
15544 minibuffer--complete-and-exit.
15545 (completion-in-region--single-word): New function, extracted from
15546 minibuffer-complete-word.
15547 (minibuffer-complete-word): Use it.
15548 (display-completion-list): Make `common-substring' argument obsolete.
15549 (completion--in-region): Call completion--in-region-1 instead of
15550 minibuffer-complete.
15551 (completion-help-at-point): Pass boundaries to
15552 minibuffer-completion-help as args rather than via an overlay.
15553 (completion-pcm--string->pattern): Use `any-delim'.
15554 (completion-pcm--optimize-pattern): New function.
15555 (completion-pcm--pattern->regex): Handle `any-delim'.
15556 * icomplete.el (icomplete-forward-completions)
15557 (icomplete-backward-completions, icomplete-completions):
15558 Adjust calls to completion-all-sorted-completions and
15559 completion--cache-all-sorted-completions.
15560 (icomplete-with-completion-tables): Default to t.
15561 * emacs-lisp/crm.el (crm--current-element): Rename from
15562 crm--select-current-element. Don't put an overlay but return the
15563 boundaries instead.
15564 (crm--completion-command): Take two new args to bind to the boundaries.
15565 (crm-completion-help): Adjust accordingly.
15566 (crm-complete): Use completion-in-region.
15567 (crm-complete-word): Use completion-in-region--single-word.
15568 (crm-complete-and-exit): Use completion-complete-and-exit.
15570 2013-09-06 Stefan Monnier <monnier@iro.umontreal.ca>
15572 * dired-x.el (dired-mark-sexp): Bind the vars lexically rather
15575 2013-09-06 Juri Linkov <juri@jurta.org>
15577 * info.el (Info-display-images-node): When image file doesn't exist
15578 display text version of the image if it's provided in the Info file.
15579 Otherwise, display the location of missing image from SRC attribute.
15580 Add help-echo text property from ALT attribute. (Bug#15279)
15582 2013-09-06 Stefan Monnier <monnier@iro.umontreal.ca>
15584 * abbrev.el (edit-abbrevs-mode-map): Rename from edit-abbrevs-map.
15585 (edit-abbrevs-mode): Use define-derived-mode.
15587 * epa.el (epa--encode-coding-string, epa--decode-coding-string)
15588 (epa--select-safe-coding-system, epa--derived-mode-p): Make it obvious
15590 (epa-key-list-mode, epa-key-mode, epa-info-mode):
15591 Use define-derived-mode.
15593 * epg.el (epg-start-encrypt): Minor CSE simplification.
15595 2013-09-06 William Xu <william.xwl@gmail.com>
15597 * arc-mode.el: Add support for 7za (bug#15264).
15598 (archive-7z-program): New var.
15599 (archive-zip-extract, archive-zip-expunge, archive-zip-update)
15600 (archive-zip-update-case, archive-7z-extract, archive-7z-expunge)
15601 (archive-7z-update, archive-zip-extract, archive-7z-summarize): Use it.
15603 2013-09-06 Michael Albinus <michael.albinus@gmx.de>
15607 * net/tramp.el (tramp-syntax, tramp-prefix-format)
15608 (tramp-postfix-method-format, tramp-prefix-ipv6-format)
15609 (tramp-postfix-ipv6-format, tramp-prefix-port-format)
15610 (tramp-postfix-host-format, tramp-file-name-regexp)
15611 (tramp-completion-file-name-regexp)
15612 (tramp-completion-dissect-file-name)
15613 (tramp-handle-substitute-in-file-name): Remove 'url case.
15614 (tramp-file-name-regexp-url)
15615 (tramp-completion-file-name-regexp-url): Remove constants.
15617 2013-09-06 Glenn Morris <rgm@gnu.org>
15619 * replace.el (replace-string): Doc fix re start/end. (Bug#15275)
15621 2013-09-05 Dmitry Gutov <dgutov@yandex.ru>
15623 * progmodes/ruby-mode.el (ruby-font-lock-keywords): Move "Perl-ish
15624 keywords" below "here-doc beginnings" (Bug#15270).
15626 2013-09-05 Stefan Monnier <monnier@iro.umontreal.ca>
15628 * subr.el (pop): Use `car-safe'.
15629 * emacs-lisp/byte-opt.el (byte-optimize-form-code-walker): Remove hack
15630 to detect unused `pop' return value.
15632 * progmodes/python.el (python-nav-beginning-of-block): Remove unused
15633 var `block-regexp'.
15634 (python-nav--forward-sexp): Remove unused var `re-search-fn'.
15635 (python-fill-string): Remove unused var `marker'.
15636 (python-skeleton-add-menu-items): Remove unused var `items'.
15638 * international/mule-cmds.el: Require CL.
15639 (find-coding-systems-for-charsets): Avoid add-to-list.
15640 (sanitize-coding-system-list): New function, extracted from
15641 select-safe-coding-system-interactively.
15642 (select-safe-coding-system-interactively): Use it.
15643 (read-input-method-name): Accept symbols for `default'.
15645 * emacs-lisp/advice.el (defadvice): Add indent rule.
15647 2013-09-05 Daniel Hackney <dan@haxney.org>
15651 * net/browse-url.el:
15654 * net/eudcb-ldap.el:
15658 * vc/ediff-diff.el:
15659 * vc/ediff-init.el:
15660 * vc/ediff-merg.el:
15661 * vc/ediff-mult.el:
15662 * vc/ediff-util.el:
15663 * vc/ediff-wind.el:
15667 * vc/vc-annotate.el: Prefix unused arguments with `_' to silence
15668 byte compiler. Remove some unused let-bound variables.
15670 2013-09-05 Stefan Monnier <monnier@iro.umontreal.ca>
15672 * emacs-lisp/cconv.el: Use `car-safe' rather than `car' to access
15673 a "ref-cell", since it gets better optimized (bug#14883).
15675 2013-09-05 Glenn Morris <rgm@gnu.org>
15677 * progmodes/cc-awk.el (c-forward-sws): Declare.
15679 2013-09-04 Glenn Morris <rgm@gnu.org>
15681 * generic-x.el [rul-generic-mode]: Require cc-mode.
15682 (c++-mode-syntax-table): Declare.
15683 (rul-generic-mode-syntax-table): Init in the defvar.
15685 2013-09-04 Stefan Monnier <monnier@iro.umontreal.ca>
15687 * vc/vc-dispatcher.el (vc-run-delayed): New macro.
15688 (vc-do-command, vc-set-async-update):
15689 * vc/vc-mtn.el (vc-mtn-dir-status):
15690 * vc/vc-hg.el (vc-hg-dir-status, vc-hg-dir-status-files)
15691 (vc-hg-pull, vc-hg-merge-branch):
15692 * vc/vc-git.el (vc-git-dir-status-goto-stage, vc-git-pull)
15693 (vc-git-merge-branch):
15694 * vc/vc-cvs.el (vc-cvs-print-log, vc-cvs-dir-status)
15695 (vc-cvs-dir-status-files):
15696 * vc/vc-bzr.el (vc-bzr-pull, vc-bzr-merge-branch, vc-bzr-dir-status)
15697 (vc-bzr-dir-status-files):
15698 * vc/vc-arch.el (vc-arch-dir-status): Use vc-run-delayed.
15699 * vc/vc-annotate.el: Use lexical-binding.
15700 (vc-annotate-display-select, vc-annotate): Use vc-run-delayed.
15701 (vc-sentinel-movepoint): Declare.
15702 (vc-annotate): Don't use `goto-line'.
15703 * vc/vc.el (vc-diff-internal): Prefer a closure to `(lambda...).
15704 (vc-diff-internal, vc-log-internal-common): Use vc-run-delayed.
15705 (vc-sentinel-movepoint): Declare.
15706 * vc/vc-svn.el: Use lexical-binding.
15707 (vc-svn-dir-status, vc-svn-dir-status-files): Use vc-run-delayed.
15709 * vc/vc-rcs.el: Use lexical-binding.
15711 * autorevert.el (auto-revert-notify-handler): Explicitly ignore
15712 `deleted'. Don't drop errors silently.
15714 * emacs-lisp/gv.el (gv-get): Warn about CL-compiled places.
15716 2013-09-04 Xue Fuqiao <xfq.free@gmail.com>
15718 * vc/vc.el (vc-ignore): Rewrite.
15719 (vc-default-ignore): New function.
15720 (vc-default-ignore-completion-table): Use find-ignore-file.
15722 * vc/vc-bzr.el (vc-bzr-ignore, vc-bzr-ignore-completion-table):
15723 * vc/vc-git.el (vc-git-ignore, vc-git-ignore-completion-table):
15724 * vc/vc-hg.el (vc-hg-ignore, vc-hg-ignore-completion-table):
15725 Remove. Most code moved to vc.el.
15727 2013-09-03 Stefan Monnier <monnier@iro.umontreal.ca>
15729 * net/tramp-gvfs.el (tramp-gvfs-mount-spec, tramp-synce-list-devices):
15730 * net/tramp-smb.el (tramp-smb-get-file-entries):
15731 * net/tramp-sh.el (tramp-sh-handle-insert-directory)
15732 (tramp-compute-multi-hops): Fix misuses of `add-to-list'.
15734 * net/eww.el (eww-display-raw): Remove unused argument `charset'.
15736 (eww-change-select): Remove unused var `properties'.
15737 (eww-make-unique-file-name): Remove unused var `base'.
15739 * finder.el (finder-compile-keywords): Don't mess with windows.
15741 * calculator.el (calculator-funcall): Fix typo in last change.
15743 * vc/vc-git.el (vc-git-checkin): Make it possible to commit a merge.
15745 * emacs-lisp/package.el (package-activate-1): Don't let a missing
15746 <pkg>-autoloads.el file stop us.
15748 * net/tramp.el (with-parsed-tramp-file-name): Silence compiler
15749 warnings, and factor out common code.
15751 2013-09-03 Dmitry Gutov <dgutov@yandex.ru>
15753 * progmodes/ruby-mode.el (ruby-calculate-indent): Consider
15754 two-character operators and whether the character preceding them
15755 changes their meaning (Bug#15208).
15757 2013-09-02 Fabián Ezequiel Gallina <fgallina@gnu.org>
15759 Format code sent to Python shell for robustness.
15760 * progmodes/python.el (python-shell-buffer-substring):
15762 (python-shell-send-region, python-shell-send-buffer): Use it.
15764 2013-09-02 Michael Albinus <michael.albinus@gmx.de>
15766 * net/tramp-compat.el (tramp-compat-user-error): Move it ...
15767 * net/tramp.el (tramp-user-error): ... here.
15768 (tramp-find-method, tramp-check-proper-host)
15769 (tramp-dissect-file-name, tramp-debug-message)
15770 (tramp-handle-shell-command):
15771 * net/tramp-adb.el (tramp-adb-handle-shell-command):
15772 * net/tramp-gvfs.el (tramp-gvfs-file-name-handler): Adapt callees.
15774 * net/tramp-cache.el (tramp-cache-print): Don't print text properties.
15776 2013-09-02 Martin Rudalics <rudalics@gmx.at>
15778 * avoid.el (mouse-avoidance-point-position)
15779 (mouse-avoidance-too-close-p): Handle case where posn-at-point
15782 2013-09-02 Fabián Ezequiel Gallina <fgallina@gnu.org>
15784 * progmodes/python.el (python-shell-completion-get-completions):
15785 Drop use of deleted `comint-last-prompt-overlay'.
15786 (python-nav-if-name-main): New command.
15788 2013-09-01 Glenn Morris <rgm@gnu.org>
15790 * Makefile.in (setwins, setwins_almost, setwins_for_subdirs):
15791 Avoid leading space in $wins. Otherwise the sed command used by
15792 eg compile-main ends up containing "/*.el". (Bug#15170)
15794 * frame.el (frame-background-mode): Doc fix. (Bug#15226)
15796 2013-08-30 Glenn Morris <rgm@gnu.org>
15798 * emacs-lisp/bytecomp.el (byte-recompile-directory):
15799 Fix is-this-a-directory logic. (Bug#15220)
15801 2013-08-29 Stefan Monnier <monnier@iro.umontreal.ca>
15803 * textmodes/css-mode.el: Use SMIE.
15804 (css-smie-grammar): New var.
15805 (css-smie--forward-token, css-smie--backward-token)
15806 (css-smie-rules): New functions.
15807 (css-mode): Use them.
15808 (css-navigation-syntax-table): Remove var.
15809 (css-backward-sexp, css-forward-sexp, css-indent-calculate-virtual)
15810 (css-indent-calculate, css-indent-line): Remove functions.
15812 Misc changes to reduce use of `(lambda...); and other cleanups.
15813 * cus-edit.el: Use lexical-binding.
15814 (customize-push-and-save, customize-apropos)
15815 (custom-buffer-create-internal): Use closures.
15816 * progmodes/bat-mode.el (bat-mode-syntax-table): "..." are strings.
15817 * progmodes/ada-xref.el: Use setq.
15818 * net/tramp.el (with-tramp-progress-reporter): Avoid setq.
15819 * dframe.el: Use lexical-binding.
15820 (dframe-frame-mode): Fix calling convention for hooks. Use a closure.
15821 * speedbar.el (speedbar-frame-mode): Adjust call accordingly.
15822 * descr-text.el: Use lexical-binding.
15823 (describe-text-widget, describe-text-sexp, describe-property-list):
15825 * comint.el (comint-history-isearch-push-state): Use a closure.
15826 * calculator.el: Use lexical-binding.
15827 (calculator-number-to-string): Make it work with lexical-binding.
15828 (calculator-funcall): Same and use cl-letf.
15830 * emacs-lisp/lisp.el (lisp--company-doc-buffer)
15831 (lisp--company-doc-string, lisp--company-location): New functions.
15832 (lisp-completion-at-point): Use them to improve Company support.
15834 * progmodes/ruby-mode.el (ruby-smie-grammar): Add rule for formal
15835 params of lambda expressions.
15836 (ruby-smie--implicit-semi-p): Refine rule (bug#15208).
15837 (ruby-smie--opening-pipe-p): New function.
15838 (ruby-smie--forward-token, ruby-smie--backward-token): Handle Ruby
15839 symbols and matched |...| for formal params.
15840 (ruby-smie-rules): Don't let the formal params of a "do" prevent it
15841 from being treated as hanging. Handle "rescue".
15843 2013-08-29 Glenn Morris <rgm@gnu.org>
15845 * progmodes/cc-engine.el (c-pull-open-brace):
15846 Move definition before use.
15848 2013-08-29 Stefan Monnier <monnier@iro.umontreal.ca>
15850 * emacs-lisp/cl-macs.el (cl-defsubst): Make it clear that args
15851 are immutable. Don't use `unsafe' any more.
15852 (cl--defsubst-expand): Don't substitute at the same time as keeping
15853 a residual unused let-binding. Don't use `unsafe' any more.
15855 2013-08-29 Glenn Morris <rgm@gnu.org>
15857 * calendar/cal-china.el (calendar-chinese-year-cache):
15860 * nxml/nxml-util.el (nxml-debug-clear-inside):
15861 Use cl-loop rather than loop.
15863 * net/eww.el (eww-mode-map): Lower-case menu bar entries look bad.
15865 * progmodes/sh-script.el (sh-builtins) <bash>: Add some bash4-isms.
15867 2013-08-28 Glenn Morris <rgm@gnu.org>
15869 * progmodes/antlr-mode.el: No need to require cc-mode twice.
15871 * progmodes/cc-bytecomp.el (cc-require): Handle uncompiled case.
15873 * progmodes/cc-mode.el (c-define-abbrev-table): Handle NAME unbound.
15875 2013-08-28 Stefan Monnier <monnier@iro.umontreal.ca>
15877 * simple.el (repeat-complex-command--called-interactively-skip):
15879 (repeat-complex-command): Use it (bug#14136).
15881 * progmodes/cc-mode.el: Minor cleanup of var declarations.
15882 (c-define-abbrev-table): Add `doc' argument.
15883 (c-mode-abbrev-table, c++-mode-abbrev-table)
15884 (objc-mode-abbrev-table, java-mode-abbrev-table)
15885 (idl-mode-abbrev-table, pike-mode-abbrev-table)
15886 (awk-mode-abbrev-table): Use it.
15887 (c-mode-syntax-table, c-mode-map, c++-mode-syntax-table)
15888 (c++-mode-map, objc-mode-syntax-table, objc-mode-map)
15889 (java-mode-syntax-table, java-mode-map, idl-mode-syntax-table)
15890 (idl-mode-map, pike-mode-syntax-table, pike-mode-map, awk-mode-map):
15891 Move initialization into the declaration; and remove any
15894 * epg.el (epg--process-filter): Use with-current-buffer, save-excursion
15895 and dynamic let binding.
15897 * vc/smerge-mode.el: Remove redundant :group args.
15899 * emacs-lisp/package.el (package-activate-1): Don't add unnecessarily
15902 2013-08-28 Juri Linkov <juri@jurta.org>
15904 * isearch.el (isearch-reread-key-sequence-naturally): Use non-nil
15905 arg DONT-DOWNCASE-LAST of `read-key-sequence'.
15906 (isearch-other-meta-char): Handle an undefined shifted printing
15907 character by downshifting it. (Bug#15200)
15909 2013-08-28 Juri Linkov <juri@jurta.org>
15911 * isearch.el (isearch-search): Change regexp error message for
15912 non-regexp searches. (Bug#15166)
15914 2013-08-28 Paul Eggert <eggert@cs.ucla.edu>
15916 * Makefile.in (SHELL): Now @SHELL@, not /bin/sh,
15917 for portability to hosts where /bin/sh has problems.
15919 2013-08-28 Stefan Monnier <monnier@iro.umontreal.ca>
15921 * emacs-lisp/cconv.el (cconv--analyse-function): Improve warning.
15923 2013-08-27 Juri Linkov <juri@jurta.org>
15925 * isearch.el (isearch-other-meta-char): Don't store kmacro commands
15926 in the keyboard macro. (Bug#15126)
15928 2013-08-27 Juri Linkov <juri@jurta.org>
15930 * isearch.el (isearch-quote-char): Comment out converting unibyte
15931 to multibyte, thus syncing with its `quoted-insert' counterpart.
15934 2013-08-27 Martin Rudalics <rudalics@gmx.at>
15936 * window.el (display-buffer-use-some-window): Add missing
15937 argument in call of get-largest-window (Bug#15185).
15938 Reported by Stephen Leake.
15940 2013-08-27 Glenn Morris <rgm@gnu.org>
15942 * emacs-lisp/package.el (package-buffer-info): Fix message typo.
15944 2013-08-27 Stefan Monnier <monnier@iro.umontreal.ca>
15946 * progmodes/python.el (python-font-lock-keywords): Don't return nil
15947 from a matcher-function unless there's no more matches (bug#15161).
15949 2013-08-26 Michael Albinus <michael.albinus@gmx.de>
15951 * minibuffer.el: Revert change from 2013-08-20.
15953 * net/tramp.el (tramp-find-method, tramp-find-user): Mark result
15954 with text property `tramp-default', if appropriate.
15955 (tramp-check-proper-host): New defun.
15956 (tramp-dissect-file-name): Do not check hostname. Revert change
15958 (tramp-backtrace): Make VEC-OR-PROC optional.
15960 * net/tramp-adb.el (tramp-adb-maybe-open-connection):
15961 * net/tramp-gvfs.el (tramp-gvfs-maybe-open-connection):
15962 * net/tramp-sh.el (tramp-maybe-open-connection):
15963 * net/tramp-smb.el (tramp-smb-maybe-open-connection):
15964 Apply `tramp-check-proper-host'.
15966 2013-08-26 Tassilo Horn <tsdh@gnu.org>
15968 * epa-hook.el (epa-file-encrypt-to): Quote `safe-local-variable'
15969 lambda expression in order to have `describe-variable' display it.
15971 2013-08-26 Michael Albinus <michael.albinus@gmx.de>
15973 * net/tramp-sh.el (tramp-sh-handle-verify-visited-file-modtime):
15974 BUF can be optional. (Bug#15186)
15976 2013-08-25 Xue Fuqiao <xfq.free@gmail.com>
15978 * progmodes/flymake.el (flymake-get-real-file-name-function):
15979 Fix broken customization. (Bug#15184)
15981 2013-08-25 Alan Mackenzie <acm@muc.de>
15983 Improve indentation of bracelists defined by macros (without "=").
15985 * progmodes/cc-engine.el (c-inside-bracelist-p): When a macro
15986 expansion begins with "{", regard it as bracelist when it doesn't
15989 Parse C++ inher-intro when there's a template split over 2 lines.
15991 * progmodes/cc-engine.el (c-guess-basic-syntax CASE 5C): Code more
15992 rigorously the search for "class" etc. followed by ":".
15994 * progmodes/cc-langs.el (c-opt-<>-sexp-key): Make the value for
15995 random languages a regexp which never matches rather than nil.
15997 Handle "/"s more accurately in test for virtual semicolons (AWK Mode).
15999 * progmodes/cc-awk.el (c-awk-one-line-possibly-open-string-re)
16000 (c-awk-regexp-one-line-possibly-open-char-list-re)
16001 (c-awk-one-line-possibly-open-regexp-re)
16002 (c-awk-one-line-non-syn-ws*-re): Remove.
16003 (c-awk-possibly-open-string-re, c-awk-non-/-syn-ws*-re)
16004 (c-awk-space*-/-re, c-awk-space*-regexp-/-re)
16005 (c-awk-space*-unclosed-regexp-/-re): New constants.
16006 (c-awk-at-vsemi-p): Reformulate better to recognize "/"s which
16007 aren't regexp delimiters.
16009 * progmodes/cc-engine.el (c-crosses-statement-barrier-p): Add in
16010 handling for a rare situation in AWK Mode involving unterminated
16013 2013-08-23 Glenn Morris <rgm@gnu.org>
16015 * files.el (auto-mode-alist): Use sh-mode for .bash_history.
16017 * files.el (interpreter-mode-alist): Use tcl-mode for expect scripts.
16019 * files.el (create-file-buffer): If the result would begin with
16020 spaces, prepend a "|" instead of removing them. (Bug#15162)
16022 2013-08-23 Stefan Monnier <monnier@iro.umontreal.ca>
16024 * textmodes/fill.el (fill-match-adaptive-prefix): Don't throw away
16025 text-properties (bug#15155).
16027 * calc/calc-keypd.el (calc-keypad-execute): `x-flush-mouse-queue' doesn't
16029 (calc-keypad-redraw): Remove unused var `pad'.
16030 (calc-keypad-press): Remove unused var `menu'.
16032 2013-08-23 Martin Rudalics <rudalics@gmx.at>
16034 * window.el (display-buffer-pop-up-frame):
16035 Call pop-up-frame-function with BUFFER current so `make-frame' will
16036 use it as the new frame's buffer (Bug#15133).
16038 2013-08-22 Stefan Monnier <monnier@iro.umontreal.ca>
16040 * calendar/timeclock.el: Minor cleanups.
16041 (timeclock-ask-before-exiting, timeclock-use-display-time):
16043 (timeclock-modeline-display): Define as alias before the
16045 (timeclock-mode-line-display): Use define-minor-mode.
16046 (timeclock-day-list-template): Make it a function, add an argument.
16047 (timeclock-day-list-required, timeclock-day-list-length)
16048 (timeclock-day-list-debt, timeclock-day-list-span)
16049 (timeclock-day-list-break): Adjust calls accordingly.
16051 2013-08-21 Stefan Monnier <monnier@iro.umontreal.ca>
16053 * emacs-lisp/pp.el (pp-eval-expression, pp-macroexpand-expression):
16054 Use read--expression so that completion works again.
16056 2013-08-21 Sam Steingold <sds@gnu.org>
16058 Add rudimentary inferior shell interaction
16059 * progmodes/sh-script.el (sh-shell-process): New buffer-local variable.
16060 (sh-set-shell): Reset it.
16061 (sh-show-shell, sh-cd-here, sh-send-line-or-region-and-step):
16062 New commands (bound to C-c C-z, C-c C-d, and C-c C-n).
16064 2013-08-20 Stefan Monnier <monnier@iro.umontreal.ca>
16066 * align.el: Use lexical-binding.
16067 (align-region): Simplify accordingly.
16069 2013-08-20 Michael Albinus <michael.albinus@gmx.de>
16071 * minibuffer.el (completion--sifn-requote): Bind `non-essential'.
16073 * rfn-eshadow.el (rfn-eshadow-update-overlay): Move binding of
16074 `non-essential' up.
16076 2013-08-17 Michael Albinus <michael.albinus@gmx.de>
16079 * net/tramp-adb.el:
16080 * net/tramp-cmds.el:
16081 * net/tramp-ftp.el:
16082 * net/tramp-gvfs.el:
16084 * net/tramp-sh.el: Don't wrap external variable declarations by
16085 `eval-when-compile'.
16087 2013-08-16 Lars Magne Ingebrigtsen <larsi@gnus.org>
16089 * net/shr.el (shr-rescale-image): Use ImageMagick even for GIFs
16090 now that Emacs supports ImageMagick animations.
16092 2013-08-16 Michael Albinus <michael.albinus@gmx.de>
16094 * net/tramp-cmds.el (top): Don't declare `buffer-name'.
16095 (tramp-append-tramp-buffers): Rewrite buffer local variables part.
16097 2013-08-16 Martin Rudalics <rudalics@gmx.at>
16099 * window.el (mouse-autoselect-window-select): Do autoselect when
16100 mouse pointer is on margin.
16102 2013-08-16 William Parsons <wbparsons@alum.mit.edu> (tiny change)
16104 * net/ange-ftp.el (ange-ftp-skip-msgs): Add 500 EPSV. (Bug#1972)
16106 2013-08-16 Glenn Morris <rgm@gnu.org>
16108 * net/ange-ftp.el (ange-ftp-good-msgs, ange-ftp-get-pwd):
16109 Handle "Remote Directory" response of some clients. (Bug#15058)
16111 * emacs-lisp/bytecomp.el (byte-compile-make-variable-buffer-local):
16112 Tweak warning. (Bug#14926)
16114 * menu-bar.el (send-mail-item-name, read-mail-item-name): Remove.
16115 (menu-bar-tools-menu): Simplify news and mail items. (Bug#15095)
16117 * image-mode.el (image-mode-map): Add menu items to reverse,
16118 increase, decrease, reset animation speed.
16119 (image--set-speed, image-increase-speed, image-decrease-speed)
16120 (image-reverse-speed, image-reset-speed): New functions.
16121 (image-mode-map): Add bindings for speed commands.
16123 * image.el (image-animate-get-speed, image-animate-set-speed):
16125 (image-animate-timeout): Respect image :speed property.
16127 2013-08-15 Stefan Monnier <monnier@iro.umontreal.ca>
16129 * emacs-lisp/debug.el (debugger-setup-buffer): Put point on the
16130 previous line (bug#15101).
16131 (debugger-eval-expression, debugger-record-expression):
16132 Use read--expression (bug#15102).
16134 2013-08-15 Michael Albinus <michael.albinus@gmx.de>
16136 Remove byte compiler warnings, visible when compiling with
16137 `byte-compile-force-lexical-warnings' set to t.
16139 * net/tramp.el (tramp-debug-message, tramp-message, tramp-error)
16140 (tramp-error-with-buffer): Rename ARGS to ARGUMENTS and BUFFER to BUF.
16141 (tramp-handle-unhandled-file-name-directory)
16142 (tramp-handle-file-notify-add-watch, tramp-action-login)
16143 (tramp-action-succeed, tramp-action-permission-denied)
16144 (tramp-action-terminal, tramp-action-process-alive): Prefix unused
16145 arguments with "_".
16147 * net/tramp-adb.el (tramp-adb-parse-device-names)
16148 (tramp-adb-handle-insert-directory, tramp-adb-handle-delete-file)
16149 (tramp-adb-handle-copy-file): Prefix unused arguments with "_".
16150 (tramp-adb-handle-file-truename): Remove unused arguments.
16152 * net/tramp-cache.el (tramp-flush-directory-property)
16153 (tramp-flush-connection-property, tramp-list-connections)
16154 (tramp-parse-connection-properties): Prefix unused arguments with "_".
16156 * net/tramp-compat.el (tramp-compat-make-temp-file):
16157 Rename FILENAME to F.
16159 * net/tramp-gvfs.el (tramp-gvfs-handle-file-notify-add-watch)
16160 (tramp-gvfs-handle-write-region, tramp-bluez-parse-device-names)
16161 (tramp-zeroconf-parse-workstation-device-names)
16162 (tramp-zeroconf-parse-webdav-device-names)
16163 (tramp-synce-parse-device-names): Prefix unused arguments with "_".
16165 * net/tramp-gw.el (tramp-gw-gw-proc-sentinel)
16166 (tramp-gw-aux-proc-sentinel): Prefix unused arguments with "_".
16168 * net/tramp-sh.el (tramp-sh-handle-file-truename): Remove unused
16170 (tramp-sh-handle-copy-file, tramp-sh-handle-dired-compress-file)
16171 (tramp-sh-handle-insert-file-contents-literally)
16172 (tramp-sh-handle-file-notify-add-watch): Prefix unused arguments
16174 (tramp-do-copy-or-rename-file, tramp-barf-if-no-shell-prompt):
16175 Remove unused variables.
16177 * net/tramp-smb.el (tramp-smb-handle-copy-directory)
16178 (tramp-smb-handle-copy-file, tramp-smb-handle-delete-file)
16179 (tramp-smb-read-file-entry): Prefix unused arguments with "_".
16181 * net/tramp-uu.el (tramp-uu-b64-alphabet, tramp-uu-b64-char-to-byte):
16182 Make them a defconst.
16183 (tramp-uuencode-region): Remove unused variable.
16185 2013-08-14 Juanma Barranquero <lekktu@gmail.com>
16187 * frameset.el (frameset--prop-setter): New function.
16188 (frameset-prop): Add gv-setter declaration.
16189 (frameset-filter-minibuffer): Deal with the case that the minibuffer
16190 parameter was already set in FILTERED. Doc fix.
16191 (frameset--record-minibuffer-relationships): Allow saving a
16192 minibufferless frame without its corresponding minibuffer frame.
16193 (frameset--reuse-frame): Accept a match from an orphaned minibufferless
16194 frame, if the frame id matches.
16195 (frameset--minibufferless-last-p): Sort non-orphaned minibufferless
16196 frames before orphaned ones.
16197 (frameset-restore): Warn about orphaned windows, instead of error out.
16199 2013-08-14 Martin Rudalics <rudalics@gmx.at>
16201 * window.el (window-make-atom): Don't overwrite parameter
16203 (display-buffer-in-atom-window): Handle special case where we
16204 split an already atomic window.
16205 (window--major-non-side-window, display-buffer-in-side-window)
16206 (window--side-check): Ignore minibuffer window when walking
16208 (window-deletable-p): Return 'frame only if no other frame uses
16209 our minibuffer window.
16210 (record-window-buffer): Run buffer-list-update-hook.
16211 (split-window): Make sure window--check-frame won't destroy an
16212 existing atomic window in case the new window gets nested
16214 (display-buffer-at-bottom): Ignore minibuffer window when
16215 walking window tree. Don't split a side window.
16216 (pop-to-buffer): Don't set-buffer here, the select-window call
16218 (mouse-autoselect-window-select): Autoselect only if we are in the
16219 text portion of the window.
16221 2013-08-13 Lars Magne Ingebrigtsen <larsi@gnus.org>
16223 * net/shr.el (shr-parse-image-data): New function to grab both the
16224 data itself and the Content-Type.
16225 (shr-put-image): Use it.
16227 * net/eww.el (eww-display-image): Ditto.
16229 * image.el (image-content-type-suffixes): New variable.
16231 2013-08-13 Fabián Ezequiel Gallina <fgallina@gnu.org>
16233 * progmodes/python.el (python-imenu--build-tree)
16234 (python-imenu--put-parent): Simplify and Fix (GH bug 146).
16236 2013-08-13 Xue Fuqiao <xfq.free@gmail.com>
16238 * simple.el (backward-word): Mention the optional argument.
16240 2013-08-13 Stefan Monnier <monnier@iro.umontreal.ca>
16242 * frameset.el (frameset--make): Rename constructor from make-frameset.
16243 (frameset-p, frameset-valid-p): Don't autoload.
16244 (frameset-valid-p): Use normal accessors.
16246 2013-08-13 Glenn Morris <rgm@gnu.org>
16248 * progmodes/compile.el (compile-command): Tweak example in doc.
16249 * obsolete/scribe.el (scribe-mode):
16250 * progmodes/mixal-mode.el (mixal-mode): Quote buffer name. (Bug#15053)
16252 * mail/feedmail.el (feedmail-confirm-outgoing)
16253 (feedmail-display-full-frame, feedmail-deduce-bcc-where): Fix types.
16255 * cus-start.el (truncate-partial-width-windows): Fix type.
16257 * emulation/viper-init.el (viper-search-scroll-threshold): Fix type.
16259 * net/shr.el (shr-table-horizontal-line): Fix custom type.
16261 2013-08-13 Stefan Monnier <monnier@iro.umontreal.ca>
16263 * emacs-lisp/timer.el (timer--time-setter): New function.
16264 (timer--time): Use it as gv-setter.
16266 * emacs-lisp/gv.el (gv-define-simple-setter): Output warning when
16267 setter is not a symbol.
16269 2013-08-12 Grégoire Jadi <daimrod@gmail.com>
16271 * mail/sendmail.el (sendmail-send-it): Don't kill the error buffer
16272 if sending fails. This makes debugging easier.
16274 2013-08-12 Juanma Barranquero <lekktu@gmail.com>
16276 * xml.el (xml-parse-tag-1): Use looking-at (this reverts change in
16277 2013-08-11T00:07:48Z!lekktu@gmail.com, which breaks the test suite).
16278 https://lists.gnu.org/archive/html/emacs-devel/2013-08/msg00263.html
16280 2013-08-12 Eli Zaretskii <eliz@gnu.org>
16282 * term/w32-win.el (dynamic-library-alist): Add DLLs for zlib.
16284 2013-08-12 Glenn Morris <rgm@gnu.org>
16286 * format.el (format-annotate-function):
16287 Handle read-only text properties in the source. (Bug#14887)
16289 2013-08-11 Lars Magne Ingebrigtsen <larsi@gnus.org>
16291 * net/eww.el (eww-display-html): Ignore coding system errors.
16292 One web site uses "utf-8lias" as the coding system.
16294 2013-08-11 Juanma Barranquero <lekktu@gmail.com>
16296 * frameset.el (frameset-valid-p): Fix check; STATES can indeed be nil.
16298 2013-08-10 Juanma Barranquero <lekktu@gmail.com>
16300 * tutorial.el (tutorial--describe-nonstandard-key): Use string-match-p.
16301 (tutorial--detailed-help): Remove unused local variables.
16302 (tutorial--save-tutorial-to): Use ignore-errors.
16303 (help-with-tutorial): Use looking-at-p.
16305 * view.el (view-buffer-other-window, view-buffer-other-frame):
16306 Mark unused arguments.
16308 * woman.el (woman-parse-colon-path, woman-parse-colon-path)
16309 (woman-select-symbol-fonts, woman, woman-find-file)
16310 (woman-insert-file-contents, woman-non-underline-faces):
16311 Use string-match-p.
16312 (woman1-unquote): Move declaration.
16314 * xml.el (xml-parse-tag-1, xml-parse-string): Use looking-at-p.
16315 (xml-parse-dtd): Use looking-at-p, string-match-p. Mark unused
16316 argument. Remove unused local variable.
16317 (xml-parse-elem-type): Use string-match-p.
16318 (xml-substitute-numeric-entities): Use ignore-errors.
16320 * calculator.el (calculator): Mark unused argument.
16321 (calculator-paste, calculator-quit, calculator-integer-p):
16323 (calculator-string-to-number, calculator-decimal, calculator-exp)
16324 (calculator-op-or-exp): Use string-match-p.
16326 * dired.el (dired-buffer-more-recently-used-p): Declare.
16327 (dired-insert-set-properties, dired-insert-old-subdirs):
16330 * dired-aux.el (dired-compress): Use ignore-errors.
16331 (dired-do-chxxx, dired-do-chmod, dired-trample-file-versions)
16332 (dired-do-async-shell-command, dired-do-shell-command)
16333 (dired-shell-stuff-it, dired-compress-file, dired-insert-subdir)
16334 (dired-insert-subdir-validate): Use string-match-p.
16335 (dired-map-dired-file-lines, dired-subdir-hidden-p): Use looking-at-p.
16336 (dired-add-entry): Use string-match-p, looking-at-p.
16337 (dired-insert-subdir-newpos): Remove unused local variable.
16339 * filenotify.el (file-notify-callback): Remove unused local variable.
16341 * filesets.el (filesets-error): Mark unused argument.
16342 (filesets-which-command-p, filesets-filter-dir-names)
16343 (filesets-directory-files, filesets-get-external-viewer)
16344 (filesets-ingroup-get-data): Use string-match-p.
16346 * find-file.el (ff-other-file-name, ff-other-file-name)
16347 (ff-find-the-other-file, ff-cc-hh-converter):
16348 Remove unused local variables.
16349 (ff-get-file-name): Use string-match-p.
16350 (ff-all-dirs-under): Use ignore-errors.
16352 * follow.el (follow-comint-scroll-to-bottom): Mark unused argument.
16353 (follow-select-if-visible): Remove unused local variable.
16355 * forms.el (read-file-filter): Move declaration.
16356 (forms--make-format, forms--make-parser, forms-insert-record):
16357 Quote function with #'.
16358 (forms--update): Use string-match-p. Quote function with #'.
16360 * help-mode.el (help-dir-local-var-def): Mark unused argument.
16361 (help-make-xrefs): Use looking-at-p.
16362 (help-xref-on-pp): Use looking-at-p, ignore-errors.
16364 * ibuffer.el (ibuffer-ext-visible-p): Declare.
16365 (ibuffer-confirm-operation-on): Use string-match-p.
16367 * msb.el (msb-item-handler, msb-dired-item-handler):
16368 Mark unused arguments.
16370 * ses.el (ses-decode-cell-symbol)
16371 (ses-kill-override): Remove unused local variable.
16372 (ses-create-cell-variable, ses-relocate-formula): Use string-match-p.
16373 (ses-load): Use ignore-errors, looking-at-p.
16374 (ses-jump-safe): Use ignore-errors.
16375 (ses-export-tsv, ses-export-tsf, ses-unsafe): Mark unused arguments.
16377 * tabify.el (untabify, tabify): Mark unused arguments.
16379 * thingatpt.el (thing-at-point--bounds-of-well-formed-url):
16380 Mark unused argument.
16381 (bounds-of-thing-at-point, thing-at-point-bounds-of-list-at-point)
16382 (thing-at-point-newsgroup-p, form-at-point): Use ignore-errors.
16384 * emacs-lisp/timer.el (timer--time): Define setter with
16385 gv-define-setter to avoid deprecation warning.
16387 * completion.el: Remove stuff unused since revno:3176 (1993-05-27).
16388 (*record-cmpl-statistics-p*): Remove (was commented out).
16389 (cmpl-statistics-block): Remove (body was commented out).
16390 All callers changed.
16391 (add-completions-from-buffer, load-completions-from-file):
16392 Remove unused variables.
16394 2013-08-09 Juanma Barranquero <lekktu@gmail.com>
16396 * filecache.el (file-cache-delete-file-list):
16397 Print message only when told so.
16398 (file-cache-files-matching): Use #' in mapconcat argument.
16400 * ffap.el (ffap-url-at-point): Fix reference to variable
16401 thing-at-point-default-mail-uri-scheme.
16403 2013-08-09 Stefan Monnier <monnier@iro.umontreal.ca>
16405 * subr.el (define-error): New function.
16406 * progmodes/ada-xref.el (ada-error-file-not-found): Rename from
16407 error-file-not-found and define with define-error.
16408 * emacs-lisp/cl-lib.el (cl-assertion-failed): Move here from subr.el
16409 and define with define-error.
16410 * userlock.el (file-locked, file-supersession):
16411 * simple.el (mark-inactive):
16412 * progmodes/js.el (js-moz-bad-rpc, js-js-error):
16413 * progmodes/ada-mode.el (ada-mode-errors):
16414 * play/life.el (life-extinct):
16415 * nxml/xsd-regexp.el (xsdre-invalid-regexp, xsdre-parse-error):
16416 * nxml/xmltok.el (xmltok-markup-declaration-parse-error):
16417 * nxml/rng-util.el (rng-error):
16418 * nxml/rng-uri.el (rng-uri-error):
16419 * nxml/rng-match.el (rng-compile-error):
16420 * nxml/rng-cmpct.el (rng-c-incorrect-schema):
16421 * nxml/nxml-util.el (nxml-error, nxml-file-parse-error):
16422 * nxml/nxml-rap.el (nxml-scan-error):
16423 * nxml/nxml-outln.el (nxml-outline-error):
16424 * net/soap-client.el (soap-error):
16425 * net/gnutls.el (gnutls-error):
16426 * net/ange-ftp.el (ftp-error):
16427 * mpc.el (mpc-proc-error):
16428 * json.el (json-error, json-readtable-error, json-unknown-keyword)
16429 (json-number-format, json-string-escape, json-string-format)
16430 (json-key-format, json-object-format):
16431 * jka-compr.el (compression-error):
16432 * international/quail.el (quail-error):
16433 * international/kkc.el (kkc-error):
16434 * emacs-lisp/ert.el (ert-test-failed):
16435 * calc/calc.el (calc-error, inexact-result, math-overflow)
16437 * bookmark.el (bookmark-error-no-filename):
16438 * epg.el (epg-error): Define with define-error.
16440 * time.el (display-time-event-handler)
16441 (display-time-next-load-average): Don't call sit-for since it seems
16442 unnecessary (bug#15045).
16444 * emacs-lisp/checkdoc.el: Remove redundant :group keywords.
16445 Use #' instead of ' to quote functions.
16446 (checkdoc-output-mode): Use setq-local.
16447 (checkdoc-spellcheck-documentation-flag, checkdoc-ispell-lisp-words)
16448 (checkdoc-verb-check-experimental-flag, checkdoc-proper-noun-regexp)
16449 (checkdoc-common-verbs-regexp): Mark safe-local-variable (bug#15010).
16450 (checkdoc-ispell, checkdoc-ispell-current-buffer)
16451 (checkdoc-ispell-interactive, checkdoc-ispell-message-interactive)
16452 (checkdoc-ispell-message-text, checkdoc-ispell-start)
16453 (checkdoc-ispell-continue, checkdoc-ispell-comments)
16454 (checkdoc-ispell-defun): Remove unused arg `take-notes'.
16456 * ido.el (ido-completion-help): Fix up compiler warning.
16458 2013-08-09 Juanma Barranquero <lekktu@gmail.com>
16460 * frameset.el (frameset-p): Add autoload cookie.
16461 (frameset--jump-to-register): New function, based on code moved from
16463 (frameset-to-register): Move from register.el. Adapt to `registerv'.
16465 * register.el (frameset-frame-id, frameset-frame-with-id, frameset-p)
16466 (frameset-restore, frameset-save, frameset-session-filter-alist):
16467 Remove declarations.
16468 (register-alist): Doc fix.
16469 (frameset-to-register): Move to frameset.el.
16470 (jump-to-register, describe-register-1): Remove frameset-specific code.
16472 2013-08-08 Juanma Barranquero <lekktu@gmail.com>
16474 * allout-widgets.el (allout-widgets-pre-command-business)
16475 (allout-widgets-post-command-business)
16476 (allout-widgets-after-change-handler)
16477 (allout-decorate-item-and-context, allout-set-boundary-marker)
16478 (allout-body-modification-handler)
16479 (allout-graphics-modification-handler): Mark ignored arguments.
16480 (allout-widgets-post-command-business)
16481 (allout-widgets-exposure-change-processor)
16482 (allout-widgets-exposure-undo-processor)
16483 (allout-decorate-item-and-context, allout-redecorate-visible-subtree)
16484 (allout-parse-item-at-point, allout-decorate-item-guides)
16485 (allout-decorate-item-cue, allout-item-span): Remove unused variables.
16486 * allout.el (epa-passphrase-callback-function): Declare.
16487 (allout-overlay-insert-in-front-handler)
16488 (allout-overlay-interior-modification-handler)
16489 (allout-isearch-end-handler, allout-chart-siblings)
16490 (allout-up-current-level, allout-end-of-level, allout-reindent-body)
16491 (allout-yank-processing, allout-process-exposed)
16492 (allout-latex-verb-quote, allout-latexify-one-item, outlineify-sticky)
16493 (allout-latex-verbatim-quote-curr-line): Remove unused variables.
16494 * emacs-lisp/lisp-mode.el (lisp-eval-defun, last-sexp-toggle-display)
16495 (lisp-indent-defform): Mark ignored arguments.
16496 (lisp-indent-line): Mark ignored arguments. Remove unused variables.
16497 (calculate-lisp-indent): Remove unused variables.
16498 * international/characters.el (indian-2-column, arabic-2-column)
16499 (tibetan): Mark ignored arguments.
16500 (use-cjk-char-width-table): Mark ignored arguments.
16501 Remove unused variables.
16502 * international/fontset.el (build-default-fontset-data)
16503 (x-compose-font-name, create-fontset-from-fontset-spec):
16504 Mark ignored arguments.
16505 (fontset-plain-name): Remove unused variables.
16506 * international/mule.el (charset-id, charset-bytes, generic-char-p)
16507 (keyboard-coding-system): Mark ignored arguments.
16508 (find-auto-coding): Remove unused variables. Use `ignore-errors'.
16509 * help.el (resize-temp-buffer-window):
16510 * window.el (display-buffer-in-major-side-window)
16511 (display-buffer-in-side-window, display-buffer-in-previous-window):
16512 Remove unused variables.
16513 * isearch.el (isearch-forward-symbol):
16514 * version.el (emacs-bzr-version-bzr):
16515 * international/mule-cmds.el (current-language-environment):
16516 * term/common-win.el (x-handle-iconic, x-handle-geometry)
16517 (x-handle-display):
16518 * term/pc-win.el (x-list-fonts, x-display-planes)
16519 (x-display-color-cells, x-server-max-request-size, x-server-vendor)
16520 (x-server-version, x-display-screens, x-display-mm-height)
16521 (x-display-mm-width, x-display-backing-store, x-display-visual-class)
16522 (x-selection-owner-p, x-own-selection-internal)
16523 (x-disown-selection-internal, x-get-selection-internal)
16524 (msdos-initialize-window-system):
16525 * term/tty-colors.el (tty-color-alist, tty-color-clear):
16526 * term/x-win.el (x-handle-no-bitmap-icon):
16527 * vc/vc-hooks.el (vc-mode, vc-default-make-version-backups-p)
16528 (vc-default-find-file-hook, vc-default-extra-menu):
16529 Mark ignored arguments.
16531 2013-08-08 Stefan Monnier <monnier@iro.umontreal.ca>
16533 * emacs-lisp/edebug.el (edebug-debugger): Use edebug-eval to run the
16534 break-condition in the context of the debugged code (bug#12685).
16536 2013-08-08 Christopher Schmidt <christopher@ch.ristopher.com>
16539 Do not use an overlay to highlight the last prompt. (Bug#14744)
16540 (comint-mode): Make comint-last-prompt buffer local.
16541 (comint-last-prompt): New variable.
16542 (comint-last-prompt-overlay): Remove. Superseded by
16543 comint-last-prompt.
16544 (comint-snapshot-last-prompt, comint-output-filter):
16545 Use comint-last-prompt.
16547 2013-08-08 Juanma Barranquero <lekktu@gmail.com>
16549 * frameset.el (frameset-valid-p): Check vector length. Doc fix.
16550 (frameset-save): Check validity of the resulting frameset.
16552 2013-08-08 Xue Fuqiao <xfq.free@gmail.com>
16554 * ido.el (ido-record-command): Add doc string.
16556 2013-08-08 Juanma Barranquero <lekktu@gmail.com>
16558 * frameset.el (frameset): Do not disable creation of the default
16559 frameset-p predicate. Doc fix.
16560 (frameset-valid-p): New function, copied from the old predicate-p.
16561 Add additional checks.
16562 (frameset-restore): Check with frameset-valid-p.
16563 (frameset-p, frameset-version, frameset-timestamp, frameset-app)
16564 (frameset-name, frameset-description, frameset-properties)
16565 (frameset-states): Add docstring.
16566 (frameset-session-filter-alist, frameset-persistent-filter-alist)
16567 (frameset-filter-alist): Doc fixes.
16569 2013-08-08 Juanma Barranquero <lekktu@gmail.com>
16571 * frameset.el (frameset-p, frameset-prop): Doc fixes.
16573 2013-08-08 Stefan Monnier <monnier@iro.umontreal.ca>
16575 * emacs-lisp/bytecomp.el (byte-compile-function-warn): New function,
16576 extracted from byte-compile-callargs-warn and byte-compile-normal-call.
16577 (byte-compile-callargs-warn, byte-compile-function-form): Use it.
16578 (byte-compile-normal-call): Remove obsolescence check.
16580 2013-08-08 Juanma Barranquero <lekktu@gmail.com>
16582 * frameset.el (frameset-restore): Doc fix.
16584 * register.el (frameset-frame-id, frameset-frame-with-id)
16585 (frameset-p, frameset-restore, frameset-save): Declare.
16586 (register-alist): Document framesets.
16587 (frameset-session-filter-alist): Declare.
16588 (frameset-to-register): New function.
16589 (jump-to-register): Implement jumping to framesets. Doc fix.
16590 (describe-register-1): Describe framesets.
16592 * bindings.el (ctl-x-r-map): Bind ?f to frameset-to-register.
16594 2013-08-07 Juanma Barranquero <lekktu@gmail.com>
16596 * desktop.el (desktop-save-frameset): Use new frameset-save args.
16597 Use lexical-binding.
16599 * frameset.el (frameset): Use type vector, not list (incompatible
16600 change). Do not declare a new constructor, use the default one.
16601 Upgrade suggested properties `app', `name' and `desc' to slots `app',
16602 `name' and `description', respectively, and add read-only slot
16603 `timestamp'. Doc fixes.
16604 (frameset-copy, frameset-persistent-filter-alist)
16605 (frameset-filter-alist, frameset-switch-to-gui-p)
16606 (frameset-switch-to-tty-p, frameset-filter-tty-to-GUI)
16607 (frameset-filter-sanitize-color, frameset-filter-minibuffer)
16608 (frameset-filter-iconified, frameset-keep-original-display-p):
16610 (frameset-filter-shelve-param, frameset-filter-unshelve-param):
16611 Rename from frameset-filter-(save|restore)-param. All callers changed.
16613 (frameset-p): Adapt to change to vector and be more thorough.
16614 Change arg name to OBJECT. Doc fix.
16615 (frameset-prop): Rename arg PROP to PROPERTY. Doc fix.
16616 (frameset-session-filter-alist): Rename from frameset-live-filter-alist.
16617 All callers changed.
16618 (frameset-frame-with-id): Rename from frameset-locate-frame-id.
16619 All callers changed.
16620 (frameset--record-minibuffer-relationships): Rename from
16621 frameset--process-minibuffer-frames. All callers changed.
16622 (frameset-save): Add new keyword arguments APP, NAME and DESCRIPTION.
16623 Use new default constructor (again). Doc fix.
16624 (frameset--find-frame-if): Rename from `frameset--find-frame'.
16625 All callers changed.
16626 (frameset--reuse-frame): Rename arg FRAME-CFG to PARAMETERS.
16627 (frameset--initial-params): Rename arg FRAME-CFG to PARAMETERS.
16629 (frameset--restore-frame): Rename args FRAME-CFG and WINDOW-CFG to
16630 PARAMETERS and WINDOW-STATE, respectively.
16631 (frameset-restore): Add new keyword argument PREDICATE.
16632 Reset frameset--target-display to nil. Doc fix.
16634 2013-08-07 Stefan Monnier <monnier@iro.umontreal.ca>
16636 * progmodes/bat-mode.el (bat--syntax-propertize): New var.
16637 (bat-mode): Use it.
16638 (bat-mode-syntax-table): Mark \n as end-of-comment.
16639 (bat-font-lock-keywords): Remove comment rule.
16641 * progmodes/bat-mode.el: Rename from dos.el. Use "bat-" prefix.
16642 (dos-mode-help): Remove. Use describe-mode (C-h m) instead.
16644 * emacs-lisp/bytecomp.el: Check existence of f in #'f.
16645 (byte-compile-callargs-warn): Use `push'.
16646 (byte-compile-arglist-warn): Ignore higher-order "calls".
16647 (byte-compile-file-form-autoload): Use `pcase'.
16648 (byte-compile-function-form): If quoting a symbol, check that it exists.
16650 2013-08-07 Eli Zaretskii <eliz@gnu.org>
16652 * progmodes/dos.el (dos-font-lock-keywords): Rename LINUX to UNIX
16653 and add a few popular commands found in batch files.
16654 (dos, dos-label-face, dos-cmd-help, dos-run, dos-run-args)
16655 (dos-mode): Doc fixes.
16657 2013-08-07 Stefan Monnier <monnier@iro.umontreal.ca>
16659 * progmodes/dos.el (auto-mode-alist): Add entries for dos-mode.
16660 (dos-mode): Use setq-local. Add space after "rem".
16661 (dos-mode-syntax-table): Don't use "w" for symbol chars.
16662 (dos-font-lock-keywords): Try to adjust font-lock rules accordingly.
16664 2013-08-07 Arni Magnusson <arnima@hafro.is>
16666 * progmodes/dos.el: New file.
16667 * generic-x.el (bat-generic-mode): Redefine as an obsolete alias to
16670 2013-08-06 Glenn Morris <rgm@gnu.org>
16672 * calendar/calendar.el: Add new faces, and day-header-array.
16673 (calendar-weekday-header, calendar-weekend-header)
16674 (calendar-month-header): New faces.
16675 (calendar-day-header-construct): New function.
16676 (calendar-day-header-width): Also :set calendar-day-header-array.
16677 (calendar-american-month-header, calendar-european-month-header)
16678 (calendar-iso-month-header): Use calendar- faces.
16679 (calendar-generate-month):
16680 Use calendar-day-header-array for day headers; apply faces to them.
16681 (calendar-mode): Check calendar-font-lock-keywords non-nil.
16682 (calendar-abbrev-construct): Add optional maxlen argument.
16683 (calendar-day-name-array): Doc fix.
16684 (calendar-day-name-array, calendar-abbrev-length)
16685 (calendar-day-abbrev-array):
16686 Also :set calendar-day-header-array, and maybe redraw.
16687 (calendar-day-header-array): New option. (Bug#15007)
16688 (calendar-font-lock-keywords): Set to nil and make obsolete.
16689 (calendar-day-name): Add option to use header array.
16691 2013-08-06 Lars Magne Ingebrigtsen <larsi@gnus.org>
16693 * net/shr.el (shr-render-td): Remove debugging.
16694 (shr-render-td): Make width computation consistent by defaulting
16695 all zero-width columns to 10 characters. This may not be optimal,
16696 but it's at least consistent.
16697 (shr-make-table-1): Redo last change to fix the real problem in
16700 2013-08-06 Dmitry Antipov <dmantipov@yandex.ru>
16702 * files.el (cache-long-line-scans):
16703 Make obsolete alias to `cache-long-scans'.
16705 2013-08-06 Juanma Barranquero <lekktu@gmail.com>
16707 * frameset.el (frameset, frameset-filter-alist)
16708 (frameset-filter-params, frameset-save, frameset--reuse-frame)
16709 (frameset--minibufferless-last-p, frameset-restore): Doc fixes.
16710 (frameset-compute-pos): Rename from frameset--compute-pos,
16712 (frameset-move-onscreen): Use frameset-compute-pos.
16713 Most changes suggested by Drew Adams <drew.adams@oracle.com>.
16715 * find-lisp.el (find-lisp-line-indent, find-lisp-find-dired-filter):
16716 Fix typos in docstrings.
16718 2013-08-06 Dmitry Antipov <dmantipov@yandex.ru>
16720 * frame.el (get-other-frame): Tiny cleanup.
16722 2013-08-06 Juanma Barranquero <lekktu@gmail.com>
16724 * vc/vc.el (vc-default-ignore-completion-table):
16725 Silence byte-compiler warning.
16727 * frameset.el (frameset-p): Don't check non-nullness of the `properties'
16728 slot, which can indeed be nil.
16729 (frameset-live-filter-alist, frameset-persistent-filter-alist):
16730 Move entry for `left' from persistent to live filter alist.
16731 (frameset-filter-alist, frameset--minibufferless-last-p, frameset-save):
16733 (frameset-filter-params): When restoring a frame, copy items added to
16734 `filtered', to avoid unwittingly modifying the original parameters.
16735 (frameset-move-onscreen): Rename from frameset--move-onscreen. Doc fix.
16736 (frameset--restore-frame): Fix reference to frameset-move-onscreen.
16738 * dired.el (dired-insert-directory): Revert change in 2013-06-21T12:24:37Z!lekktu@gmail.com
16739 to use looking-at-p instead of looking-at. (Bug#15028)
16741 2013-08-05 Stefan Monnier <monnier@iro.umontreal.ca>
16743 Revert introduction of isearch-filter-predicates (bug#14714).
16744 Rely on add-function instead.
16745 * isearch.el (isearch-filter-predicates): Rename it back to
16746 isearch-filter-predicate.
16747 (isearch-message-prefix): Use advice-function-mapc and advice
16748 properties to get the isearch-message-prefix.
16749 (isearch-search, isearch-lazy-highlight-search): Revert to funcall
16750 instead of run-hook-with-args-until-failure.
16751 (isearch-filter-visible): Not obsolete any more.
16752 * loadup.el: Preload nadvice.
16753 * replace.el (perform-replace): Revert to funcall
16754 instead of run-hook-with-args-until-failure.
16755 * wdired.el (wdired-change-to-wdired-mode): Use add-function.
16756 * dired-aux.el (dired-isearch-filenames-mode): Rename from
16757 dired-isearch-filenames-toggle; make it into a proper minor mode.
16758 Use add/remove-function.
16759 (dired-isearch-filenames-setup, dired-isearch-filenames-end):
16760 Call the minor-mode rather than add/remove-hook.
16761 (dired-isearch-filter-filenames):
16762 Remove isearch-message-prefix property.
16763 * info.el (Info--search-loop): New function, extracted from Info-search.
16764 Funcall isearch-filter-predicate instead of
16765 run-hook-with-args-until-failure isearch-filter-predicates.
16766 (Info-search): Use it.
16767 (Info-mode): Use isearch-filter-predicate instead of
16768 isearch-filter-predicates.
16770 2013-08-05 Dmitry Antipov <dmantipov@yandex.ru>
16772 Do not call to `selected-window' where it is assumed by default.
16773 Affected functions are `window-minibuffer-p', `window-dedicated-p',
16774 `window-hscroll', `window-width', `window-height', `window-buffer',
16775 `window-frame', `window-start', `window-point', `next-window'
16776 and `window-display-table'.
16777 * abbrev.el (abbrev--default-expand):
16778 * bs.el (bs--show-with-configuration):
16779 * buff-menu.el (Buffer-menu-mouse-select):
16780 * calc/calc.el (calc):
16781 * calendar/calendar.el (calendar-generate-window):
16782 * calendar/diary-lib.el (diary-simple-display, diary-show-all-entries)
16783 (diary-make-entry):
16784 * comint.el (send-invisible, comint-dynamic-complete-filename)
16785 (comint-dynamic-simple-complete, comint-dynamic-list-completions):
16786 * completion.el (complete):
16787 * dabbrev.el (dabbrev-expand, dabbrev--make-friend-buffer-list):
16788 * disp-table.el (describe-current-display-table):
16789 * doc-view.el (doc-view-insert-image):
16790 * ebuff-menu.el (Electric-buffer-menu-mouse-select):
16791 * ehelp.el (with-electric-help):
16792 * emacs-lisp/easy-mmode.el (easy-mmode-define-navigation):
16793 * emacs-lisp/edebug.el (edebug-two-window-p, edebug-pop-to-buffer):
16794 * emacs-lisp/helper.el (Helper-help-scroller):
16795 * emulation/cua-base.el (cua--post-command-handler-1):
16796 * eshell/esh-mode.el (eshell-output-filter):
16797 * ffap.el (ffap-gnus-wrapper):
16798 * help-macro.el (make-help-screen):
16799 * hilit-chg.el (highlight-compare-buffers):
16800 * hippie-exp.el (hippie-expand, try-expand-dabbrev-visible):
16801 * hl-line.el (global-hl-line-highlight):
16802 * icomplete.el (icomplete-simple-completing-p):
16803 * isearch.el (isearch-done):
16804 * jit-lock.el (jit-lock-stealth-fontify):
16805 * mail/rmailsum.el (rmail-summary-scroll-msg-up):
16806 * mouse-drag.el (mouse-drag-should-do-col-scrolling):
16807 * mpc.el (mpc-tagbrowser, mpc):
16808 * net/rcirc.el (rcirc-any-buffer):
16809 * play/gomoku.el (gomoku-max-width, gomoku-max-height):
16810 * play/landmark.el (landmark-max-width, landmark-max-height):
16811 * play/zone.el (zone):
16812 * progmodes/compile.el (compilation-goto-locus):
16813 * progmodes/ebrowse.el (ebrowse-view/find-file-and-search-pattern):
16814 * progmodes/etags.el (find-tag-other-window):
16815 * progmodes/fortran.el (fortran-column-ruler):
16816 * progmodes/gdb-mi.el (gdb-mouse-toggle-breakpoint-fringe):
16817 * progmodes/verilog-mode.el (verilog-point-text):
16818 * reposition.el (reposition-window):
16819 * rot13.el (toggle-rot13-mode):
16820 * server.el (server-switch-buffer):
16821 * shell.el (shell-dynamic-complete-command)
16822 (shell-dynamic-complete-environment-variable):
16823 * simple.el (insert-buffer, set-selective-display)
16824 (delete-completion-window):
16825 * speedbar.el (speedbar-timer-fn, speedbar-center-buffer-smartly)
16826 (speedbar-recenter):
16827 * startup.el (fancy-splash-head):
16828 * textmodes/ispell.el (ispell-command-loop):
16829 * textmodes/makeinfo.el (makeinfo-compilation-sentinel-region):
16830 * tutorial.el (help-with-tutorial):
16831 * vc/add-log.el (add-change-log-entry):
16832 * vc/compare-w.el (compare-windows):
16833 * vc/ediff-help.el (ediff-indent-help-message):
16834 * vc/ediff-util.el (ediff-setup-control-buffer, ediff-position-region):
16835 * vc/ediff-wind.el (ediff-skip-unsuitable-frames)
16836 (ediff-setup-control-frame):
16837 * vc/emerge.el (emerge-position-region):
16838 * vc/pcvs-util.el (cvs-bury-buffer):
16839 * window.el (walk-windows, mouse-autoselect-window-select):
16840 * winner.el (winner-set-conf, winner-undo): Related users changed.
16842 2013-08-05 Juanma Barranquero <lekktu@gmail.com>
16844 * frameset.el (frameset--set-id): Doc fix.
16845 (frameset-frame-id, frameset-frame-id-equal-p)
16846 (frameset-locate-frame-id): New functions.
16847 (frameset--process-minibuffer-frames, frameset--reuse-frame)
16848 (frameset-restore): Use them.
16850 2013-08-05 Dmitry Antipov <dmantipov@yandex.ru>
16852 Do not call to `selected-frame' where it is assumed by default.
16853 Affected functions are `raise-frame', `redraw-frame',
16854 `frame-first-window', `frame-terminal' and `delete-frame'.
16855 * calendar/appt.el (appt-disp-window):
16856 * epg.el (epg-wait-for-completion):
16857 * follow.el (follow-delete-other-windows-and-split)
16858 (follow-avoid-tail-recenter):
16859 * international/mule.el (set-terminal-coding-system):
16860 * mail/rmail.el (rmail-mail-return):
16861 * net/newst-plainview.el (newsticker--buffer-set-uptodate):
16862 * progmodes/f90.el (f90-add-imenu-menu):
16863 * progmodes/idlw-toolbar.el (idlwave-toolbar-toggle):
16864 * server.el (server-switch-buffer):
16865 * simple.el (delete-completion-window):
16867 * term/xterm.el (terminal-init-xterm-modify-other-keys)
16868 (xterm-turn-on-modify-other-keys, xterm-remove-modify-other-keys):
16869 * vc/ediff-util.el (ediff-status-info, ediff-show-diff-output):
16870 * vc/ediff.el (ediff-documentation): Related users changed.
16871 * frame.el (selected-terminal): Remove the leftover.
16873 2013-08-05 Glenn Morris <rgm@gnu.org>
16875 * calendar/calendar.el (calendar-generate-month):
16876 Fix for calendar-column-width != 1 + calendar-day-digit-width.
16877 (calendar-generate-month, calendar-font-lock-keywords):
16878 Fix for calendar-day-header-width > length of any day name.
16880 2013-08-05 Juanma Barranquero <lekktu@gmail.com>
16882 * desktop.el (desktop-clear): Use new name of sort predicate.
16884 * frameset.el (frameset): Add docstring. Move :version property to its
16885 own `version' slot.
16886 (frameset-copy): Rename from copy-frameset.
16887 (frameset-p): Check more thoroughly.
16888 (frameset-prop): Do not check for :version, which is no longer a prop.
16889 (frameset-live-filter-alist, frameset-persistent-filter-alist):
16890 Use new :never value instead of t.
16891 (frameset-filter-alist): Expand and clarify docstring.
16892 (frameset-filter-tty-to-GUI, frameset-filter-sanitize-color)
16893 (frameset-filter-minibuffer, frameset-filter-save-param)
16894 (frameset-filter-restore-param, frameset-filter-iconified):
16895 Add pointer to docstring of frameset-filter-alist.
16896 (frameset-filter-params): Rename filter values to be more meaningful:
16897 :never instead of t, and reverse the meanings of :save and :restore.
16898 (frameset--process-minibuffer-frames): Clarify error message.
16899 (frameset-save): Avoid unnecessary and confusing call to framep.
16900 Use new BOA constructor for framesets.
16901 (frameset--reuse-list): Doc fix.
16902 (frameset--restore-frame): Rename from frameset--get-frame. Doc fix.
16903 (frameset--minibufferless-last-p): Rename from frameset--sort-states.
16904 (frameset-minibufferless-first-p): Doc fix.
16905 Rename from frameset-sort-frames-for-deletion.
16906 (frameset-restore): Doc fixes. Use new function names.
16907 Most changes suggested by Drew Adams <drew.adams@oracle.com>.
16909 2013-08-04 Juanma Barranquero <lekktu@gmail.com>
16911 * desktop.el (desktop-restore-forces-onscreen)
16912 (desktop-restore-reuses-frames): Document :keyword constant values.
16913 (desktop-filter-parameters-alist): Remove, now identical to
16914 frameset-filter-alist.
16915 (desktop--filter-tty*): Remove, moved to frameset.el.
16916 (desktop-save-frameset, desktop-restore-frameset):
16917 Do not pass :filters argument.
16919 * frameset.el (frameset-live-filter-alist)
16920 (frameset-persistent-filter-alist): New variables.
16921 (frameset-filter-alist): Use them. Add autoload cookie.
16922 (frameset-filter-tty-to-GUI): Move from desktop.el and rename.
16923 (frameset--set-id, frameset--reuse-frame): Rename `frame-id' to
16924 `frameset--id' (it's supposed to be internal to frameset.el).
16925 (frameset--process-minibuffer-frames): Ditto. Doc fix.
16926 (frameset--initial-params): New function.
16927 (frameset--get-frame): Use it. Doc fix.
16928 (frameset--move-onscreen): Accept new PRED value for FORCE-ONSCREEN.
16929 Accept :all, not 'all.
16930 (frameset-restore): Add new predicate values for FORCE-ONSCREEN and
16931 FORCE-DISPLAY. Use :keywords for constant arguments to avoid collision
16932 with fbound symbols. Fix frame id matching, and remove matching ids if
16933 the frame being restored is deleted. Obey :delete.
16935 2013-08-04 Stefan Monnier <monnier@iro.umontreal.ca>
16937 * subr.el (macrop): New function.
16938 (text-clone--maintaining): New var.
16939 (text-clone--maintain): Rename from text-clone-maintain. Use it
16940 instead of inhibit-modification-hooks.
16942 * emacs-lisp/nadvice.el (advice--normalize): For aliases to macros, use
16943 a proxy, so as handle autoloads and redefinitions of the target.
16944 (advice--defalias-fset, advice-remove): Use advice--symbol-function.
16946 * emacs-lisp/pcase.el (pcase-mutually-exclusive-predicates):
16947 Remove bogus (arrayp . stringp) pair. Add entries for `vectorp'.
16948 (pcase--mutually-exclusive-p): New function.
16949 (pcase--split-consp): Use it.
16950 (pcase--split-pred): Use it. Optimize the case where `pat' is a qpat
16951 mutually exclusive with the current predicate.
16953 * emacs-lisp/edebug.el (edebug-lookup-function): Remove function.
16954 (edebug-macrop): Remove. Use `macrop' instead.
16955 * emacs-lisp/advice.el (ad-subr-p): Remove. Use `subrp' instead.
16957 * eshell/esh-cmd.el (eshell-macrop):
16958 * apropos.el (apropos-macrop): Remove. Use `macrop' instead.
16960 2013-08-04 Stefan Monnier <monnier@iro.umontreal.ca>
16962 * emacs-lisp/nadvice.el (advice-function-mapc): Rename from advice-mapc.
16963 (advice-mapc): New function, using it.
16964 (advice-function-member-p): New function.
16965 (advice--normalize): Store the cdr in advice--saved-rewrite since
16966 that's the part that will be changed.
16967 (advice--symbol-function): New function.
16968 (advice-remove): Handle removal before the function is defined.
16969 Adjust to new advice--saved-rewrite.
16970 (advice-member-p): Use advice-function-member-p and
16971 advice--symbol-function.
16973 2013-08-04 Juanma Barranquero <lekktu@gmail.com>
16975 * frameset.el (frameset-p, frameset-save): Fix autoload cookies.
16976 (frameset-filter-minibuffer): Doc fix.
16977 (frameset-restore): Fix autoload cookie. Fix typo in docstring.
16978 (frameset--set-id, frameset--process-minibuffer-frames)
16979 (frameset-restore): Rename parameter `frameset-id' to `frame-id'.
16980 (frameset--reuse-frame): Pass correct frame-id to frameset--find-frame.
16982 * desktop.el (desktop-clear): Only delete frames when called
16983 interactively and desktop-restore-frames is non-nil. Doc fix.
16984 (desktop-read): Set desktop-saved-frameset to nil.
16986 2013-08-04 Xue Fuqiao <xfq.free@gmail.com>
16988 * vc/vc.el (vc-ignore): Rewrite.
16989 (vc-default-ignore-completion-table, vc--read-lines)
16990 (vc--add-line, vc--remove-regexp): New functions.
16992 * vc/vc-svn.el (vc-svn-ignore): Doc fix.
16993 (vc-svn-ignore-completion-table): New function.
16995 * vc/vc-hg.el (vc-hg-ignore): Rewrite.
16996 (vc-hg-ignore-completion-table)
16997 (vc-hg-find-ignore-file): New functions.
16999 * vc/vc-git.el (vc-git-ignore): Rewrite.
17000 (vc-git-ignore-completion-table)
17001 (vc-git-find-ignore-file): New functions.
17003 * vc/vc-dir.el (vc-dir-menu-map): Add menu for vc-dir-ignore.
17005 * vc/vc-bzr.el (vc-bzr-ignore): Rewrite.
17006 (vc-bzr-ignore-completion-table)
17007 (vc-bzr-find-ignore-file): New functions.
17009 2013-08-03 Juanma Barranquero <lekktu@gmail.com>
17011 * frameset.el (frameset-prop): New function and setter.
17012 (frameset-save): Do not modify frame list passed by the caller.
17014 2013-08-03 Stefan Monnier <monnier@iro.umontreal.ca>
17016 * emacs-lisp/package.el (package-desc-from-define): Ignore unknown keys.
17018 2013-08-02 Stefan Monnier <monnier@iro.umontreal.ca>
17020 * emacs-lisp/easy-mmode.el (define-globalized-minor-mode)
17021 (easy-mmode-define-navigation): Avoid ((lambda (..) ..) ...).
17023 * custom.el (custom-initialize-default, custom-initialize-set)
17024 (custom-initialize-reset, custom-initialize-changed): Affect the
17025 toplevel-default-value (bug#6275, bug#14586).
17026 * emacs-lisp/advice.el (ad-compile-function): Undo previous workaround
17029 2013-08-02 Juanma Barranquero <lekktu@gmail.com>
17031 * emacs-lisp/lisp-mode.el (lisp-imenu-generic-expression):
17032 Add cl-def* expressions.
17034 * frameset.el (frameset-filter-params): Fix order of arguments.
17036 2013-08-02 Juanma Barranquero <lekktu@gmail.com>
17038 Move code related to saving frames to frameset.el.
17039 * desktop.el: Require frameset.
17040 (desktop-restore-frames): Doc fix.
17041 (desktop-restore-reuses-frames): Rename from
17042 desktop-restoring-reuses-frames.
17043 (desktop-saved-frameset): Rename from desktop-saved-frame-states.
17044 (desktop-clear): Clear frames too.
17045 (desktop-filter-parameters-alist): Set from frameset-filter-alist.
17046 (desktop--filter-tty*, desktop-save, desktop-read):
17047 Use frameset functions.
17048 (desktop-before-saving-frames-functions, desktop--filter-*-color)
17049 (desktop--filter-minibuffer, desktop--filter-restore-desktop-parm)
17050 (desktop--filter-save-desktop-parm, desktop--filter-iconified-position)
17051 (desktop-restore-in-original-display-p, desktop--filter-frame-parms)
17052 (desktop--process-minibuffer-frames, desktop-save-frames)
17053 (desktop--reuse-list, desktop--compute-pos, desktop--move-onscreen)
17054 (desktop--find-frame, desktop--select-frame, desktop--make-frame)
17055 (desktop--sort-states, desktop-restoring-frames-p)
17056 (desktop-restore-frames): Remove. Most code moved to frameset.el.
17057 (desktop-restoring-frameset-p, desktop-restore-frameset)
17058 (desktop--check-dont-save, desktop-save-frameset): New functions.
17059 (desktop--app-id): New constant.
17060 (desktop-first-buffer, desktop-buffer-ok-count)
17061 (desktop-buffer-fail-count): Move before first use.
17062 * frameset.el: New file.
17064 2013-08-01 Stefan Monnier <monnier@iro.umontreal.ca>
17066 * files.el: Use lexical-binding.
17067 (dir-locals-read-from-file): Remove unused `err' variable.
17068 (hack-dir-local-variables--warned-coding): New var.
17069 (hack-dir-local-variables): Use it to avoid repeated warnings.
17070 (make-backup-file-name--default-function): New function.
17071 (make-backup-file-name-function): Use it as default.
17072 (buffer-stale--default-function): New function.
17073 (buffer-stale-function): Use it as default.
17074 (revert-buffer-insert-file-contents--default-function): New function.
17075 (revert-buffer-insert-file-contents-function): Use it as default.
17076 (insert-directory): Avoid add-to-list.
17078 * autorevert.el (auto-revert-handler): Simplify.
17079 Use buffer-stale--default-function.
17081 2013-08-01 Tassilo Horn <tsdh@gnu.org>
17083 * speedbar.el (speedbar-query-confirmation-method): Doc fix.
17085 * whitespace.el (whitespace-ensure-local-variables): New function.
17086 (whitespace-cleanup-region): Call it.
17087 (whitespace-turn-on): Call it.
17089 2013-08-01 Michael Albinus <michael.albinus@gmx.de>
17091 Complete file name handlers.
17093 * net/tramp.el (tramp-handle-set-visited-file-modtime)
17094 (tramp-handle-verify-visited-file-modtime)
17095 (tramp-handle-file-notify-rm-watch): New functions.
17096 (tramp-call-process): Do not bind `default-directory'.
17098 * net/tramp-adb.el (tramp-adb-file-name-handler-alist):
17099 Order alphabetically.
17100 <access-file, add-name-to-file, dired-call-process>:
17101 <dired-compress-file, file-acl, file-notify-rm-watch>:
17102 <file-ownership-preserved-p, file-selinux-context>:
17103 <make-directory-internal, make-symbolic-link, set-file-acl>:
17104 <set-file-selinux-context, set-visited-file-modtime>:
17105 <verify-visited-file-modtime>: Add handler.
17106 (tramp-adb-handle-write-region): Apply `set-visited-file-modtime'.
17108 * net/tramp-gvfs.el (tramp-gvfs-file-name-handler-alist)
17109 <file-notify-add-watch, file-notify-rm-watch>:
17110 <set-file-times, set-visited-file-modtime>:
17111 <verify-visited-file-modtime>: Add handler.
17112 (with-tramp-gvfs-error-message)
17113 (tramp-gvfs-handle-set-visited-file-modtime)
17114 (tramp-gvfs-fuse-file-name): Remove.
17115 (tramp-gvfs-handle-file-notify-add-watch)
17116 (tramp-gvfs-file-gvfs-monitor-file-process-filter): New defuns.
17117 (tramp-gvfs-handle-write-region): Fix error in moving tmpfile.
17119 * net/tramp-sh.el (tramp-sh-file-name-handler-alist):
17120 Order alphabetically.
17121 <file-notify-rm-watch>: Use default Tramp handler.
17122 <executable-find>: Remove private handler.
17123 (tramp-do-copy-or-rename-file-out-of-band): Do not bind
17124 `default-directory'.
17125 (tramp-sh-handle-executable-find)
17126 (tramp-sh-handle-file-notify-rm-watch): Remove functions.
17127 (tramp-sh-file-gvfs-monitor-dir-process-filter)
17128 (tramp-sh-file-inotifywait-process-filter, tramp-set-remote-path):
17129 Do not use `format' in `tramp-message'.
17131 * net/tramp-smb.el (tramp-smb-file-name-handler-alist)
17132 <file-notify-rm-watch, set-visited-file-modtime>:
17133 <verify-visited-file-modtime>: Add handler.
17134 (tramp-smb-call-winexe): Do not bind `default-directory'.
17136 2013-08-01 Xue Fuqiao <xfq.free@gmail.com>
17138 * vc/vc-hooks.el (vc-menu-map): Fix menu entry for vc-ignore.
17140 2013-07-31 Dmitry Gutov <dgutov@yandex.ru>
17142 * vc/log-view.el (log-view-diff): Extract `log-view-diff-common',
17144 (log-view-diff-changeset): Same.
17145 (log-view-diff-common): Call backend command `previous-revision'
17146 to find out the previous revision, in both cases. Swap the
17147 variables `to' and `fr', so that `fr' usually refers to the
17148 earlier revision (Bug#14989).
17150 2013-07-31 Kan-Ru Chen <kanru@kanru.info>
17152 * ibuf-ext.el (ibuffer-filter-by-filename):
17153 Make it work with dired buffers too.
17155 2013-07-31 Dmitry Antipov <dmantipov@yandex.ru>
17157 * emacs-lisp/re-builder.el (reb-color-display-p):
17158 * files.el (save-buffers-kill-terminal):
17159 * net/browse-url.el (browse-url):
17160 * server.el (server-save-buffers-kill-terminal):
17161 * textmodes/reftex-toc.el (reftex-toc, reftex-toc-revert):
17162 Prefer nil to selected-frame for the first arg of frame-parameter.
17164 2013-07-31 Xue Fuqiao <xfq.free@gmail.com>
17166 * vc/vc-hooks.el (vc-menu-map): Add menu entry for vc-ignore.
17168 2013-07-30 Stephen Berman <stephen.berman@gmx.net>
17170 * minibuffer.el (completion--twq-all): Try and preserve each
17171 completion's case choice (bug#14907).
17173 2013-07-30 Lars Magne Ingebrigtsen <larsi@gnus.org>
17175 * net/network-stream.el (open-network-stream): Mention the new
17176 :nogreeting parameter.
17177 (network-stream-open-starttls): Use the :nogreeting parameter
17180 * net/shr.el (shr-mouse-browse-url): Remove and use `shr-browse-url'.
17182 * net/eww.el (eww-setup-buffer): Switching to the buffer seems
17183 more natural than popping.
17185 * net/shr.el (shr-urlify): Put `follow-link' on URLs (bug#14815).
17186 (shr-urlify): Highlight under mouse.
17188 2013-07-30 Xue Fuqiao <xfq.free@gmail.com>
17190 * vc/vc-hooks.el (vc-prefix-map): Add key binding for vc-ignore.
17192 * vc/vc-dir.el (vc-dir-mode-map): Change key binding for vc-dir-ignore.
17194 * vc/vc-svn.el (vc-svn-ignore): Remove `interactive'. Use `*vc*'
17197 * vc/vc-hg.el (vc-hg-ignore): Remove `interactive'. Do not assume
17198 point-min==1. Fix search string. Fix parentheses missing.
17200 * vc/vc-git.el (vc-git-ignore): Remove `interactive'. Do not
17201 assume point-min==1. Fix search string. Fix parentheses missing.
17203 * vc/vc-cvs.el (vc-cvs-ignore): Remove `interactive'.
17205 * vc/vc-bzr.el (vc-bzr-ignore): Remove `interactive'. Use `*vc*'
17208 2013-07-29 Eli Zaretskii <eliz@gnu.org>
17210 * frame.el (frame-notice-user-settings): Avoid inflooping when the
17211 initial frame is minibuffer-less. (Bug#14841)
17213 2013-07-29 Michael Albinus <michael.albinus@gmx.de>
17215 * net/tramp.el (tramp-use-ssh-controlmaster-options): New customer
17218 * net/tramp-sh.el (tramp-do-copy-or-rename-file-out-of-band)
17219 (tramp-maybe-open-connection): Use it.
17221 2013-07-28 Juanma Barranquero <lekktu@gmail.com>
17223 * desktop.el (desktop--make-frame): Include `minibuffer' in the
17224 minimal set of parameters passed when creating a frame, because
17225 the minibuffer status of a frame cannot be changed later.
17227 2013-07-28 Stephen Berman <stephen.berman@gmx.net>
17229 * calendar/todo-mode.el (todo-rename-file): Fix incorrect use of
17230 replace-regexp-in-string and inadvertent omissions in previous change.
17231 (todo-filter-items): Ensure only file names are comma-separated in
17232 name of filtered items buffer.
17234 2013-07-28 Juanma Barranquero <lekktu@gmail.com>
17236 * desktop.el: Optionally force offscreen frames back onscreen.
17237 (desktop-restoring-reuses-frames): New option.
17238 (desktop--compute-pos, desktop--move-onscreen): New functions.
17239 (desktop--make-frame): Use desktop--move-onscreen.
17241 2013-07-27 Alan Mackenzie <acm@muc.de>
17243 Fontify a Java generic method as a function.
17244 * progmodes/cc-langs.el (c-recognize-<>-arglists): Set the Java
17247 2013-07-27 Stephen Berman <stephen.berman@gmx.net>
17249 * calendar/todo-mode.el: Add command to rename todo files.
17250 (todo-rename-file): New command.
17251 (todo-key-bindings-t): Add key binding for it. Change the
17252 bindings of todo-filter-regexp-items(-multifile) to use `x'
17253 instead of `r', since the latter is better suited to the new
17256 2013-07-27 Alan Mackenzie <acm@muc.de>
17258 Make Java try-with-resources statement parse properly.
17259 * progmodes/cc-langs.el (c-block-stmt-1-2-kwds)
17260 (c-block-stmt-1-2-key): New language constants/variables.
17261 * progmodes/cc-engine.el (c-beginning-of-statement-1)
17262 (c-after-conditional): Adapt to deal with c-block-stmt-1-2-key.
17263 * progmodes/cc-fonts.el (c-font-lock-declarations): Adapt to deal
17264 with c-block-stmt-1-2-key.
17266 2013-07-27 Juanma Barranquero <lekktu@gmail.com>
17268 * desktop.el (desktop--make-frame): Apply most frame parameters after
17269 creating the frame to force (partially or totally) offscreen frames to
17270 be restored as such.
17272 2013-07-26 Xue Fuqiao <xfq.free@gmail.com>
17274 * vc/vc-dir.el (vc-dir-mode-map): Add binding for vc-root-diff.
17277 2013-07-26 Stefan Monnier <monnier@iro.umontreal.ca>
17279 * emacs-lisp/nadvice.el (advice--called-interactively-skip): Use the new
17280 `base' arg of backtrace-frame.
17282 2013-07-26 Eli Zaretskii <eliz@gnu.org>
17284 * simple.el (list-processes): Doc fix.
17286 2013-07-26 Juanma Barranquero <lekktu@gmail.com>
17288 * desktop.el (desktop--select-frame):
17289 Try harder to reuse existing frames.
17291 2013-07-26 Stefan Monnier <monnier@iro.umontreal.ca>
17293 * emacs-lisp/edebug.el: Use backtrace-eval to handle lexical variables.
17294 (edebug-eval): Use backtrace-eval.
17295 (edebug--display, edebug--recursive-edit): Don't let-bind the
17296 edebug-outer-* vars that keep track of variables we locally let-bind.
17297 (edebug-outside-excursion): Don't restore outside values of locally
17299 (edebug--display): Use user-error.
17300 (cl-lexical-debug, cl-debug-env): Remove.
17302 2013-07-26 Juanma Barranquero <lekktu@gmail.com>
17304 * desktop.el (desktop-restore-frames): Call `sit-for' once all frames
17305 are restored to be sure that they are visible before deleting any
17308 2013-07-26 Matthias Meulien <orontee@gmail.com>
17310 * vc/vc-dir.el (vc-dir-mode-map): Add binding for
17311 vc-print-root-log. (Bug#14948)
17313 2013-07-26 Richard Stallman <rms@gnu.org>
17315 Add aliases for encrypting mail.
17316 * epa.el (epa-mail-aliases): New option.
17317 * epa-mail.el (epa-mail-encrypt): Rewrite to be callable from programs.
17318 Bind inhibit-read-only so read-only text doesn't ruin everything.
17319 (epa-mail-default-recipients): New subroutine broken out.
17320 Handle epa-mail-aliases.
17322 2013-07-26 Stefan Monnier <monnier@iro.umontreal.ca>
17324 Add support for lexical variables to the debugger's `e' command.
17325 * emacs-lisp/debug.el (debug): Don't let-bind the debugger-outer-*
17326 vars, except for debugger-outer-match-data.
17327 (debugger-frame-number): Move check for "on a function call" from
17328 callers into it. Add `skip-base' argument.
17329 (debugger-frame, debugger-frame-clear): Simplify accordingly.
17330 (debugger-env-macro): Only reset the state stored in non-variables,
17331 i.e. current-buffer and match-data.
17332 (debugger-eval-expression): Rewrite using backtrace-eval.
17333 * subr.el (internal--called-interactively-p--get-frame): Remove.
17334 (called-interactively-p):
17335 * emacs-lisp/edebug.el (edebug--called-interactively-skip): Use the new
17336 `base' arg of backtrace-frame instead.
17338 2013-07-26 Glenn Morris <rgm@gnu.org>
17340 * align.el (align-regexp): Doc fix. (Bug#14857)
17341 (align-region): Explicit error if subexpression missing/does not match.
17343 * simple.el (global-visual-line-mode):
17344 Do not duplicate the mode lighter. (Bug#14858)
17346 2013-07-25 Martin Rudalics <rudalics@gmx.at>
17348 * window.el (display-buffer): In display-buffer bind
17349 split-window-keep-point to t, bug#14829.
17351 2013-07-25 Juanma Barranquero <lekktu@gmail.com>
17353 * desktop.el: Rename internal "desktop-X" frame params to "desktop--X".
17354 (desktop-filter-parameters-alist, desktop--filter-restore-desktop-parm)
17355 (desktop--filter-save-desktop-parm, desktop--process-minibuffer-frames)
17356 (desktop--select-frame, desktop--sort-states, desktop-restore-frames):
17357 Change accordingly.
17358 (desktop--select-frame, desktop--sort-states, desktop-restore-frames):
17359 Use pcase-let, pcase-let* to deobfuscate access to desktop--mini values.
17361 2013-07-25 Glenn Morris <rgm@gnu.org>
17363 * dired-x.el (dired-mark-extension): Convert comment to doc string.
17365 2013-07-25 Juanma Barranquero <lekktu@gmail.com>
17367 * desktop.el (desktop--make-frame): Do not pass the `fullscreen'
17368 parameter to modify-frame-parameters if the value has not changed;
17369 this is a workaround for bug#14949.
17370 (desktop--make-frame): On cl-delete-if call, check parameter name,
17371 not full parameter.
17373 2013-07-30 Xue Fuqiao <xfq.free@gmail.com>
17375 * vc/vc.el (vc-ignore): New function.
17377 * vc/vc-svn.el (vc-svn-ignore): New function.
17379 * vc/vc-hg.el (vc-hg-ignore): New function.
17381 * vc/vc-git.el (vc-git-ignore): New function.
17383 * vc/vc-dir.el (vc-dir-mode-map): Add key binding for vc-dir-ignore
17384 (vc-dir-ignore): New function.
17386 * vc/vc-cvs.el (vc-cvs-ignore): New function.
17387 (cvs-append-to-ignore): Move here from pcvs.el.
17389 * vc/vc-bzr.el (vc-bzr-ignore): New function.
17391 * vc/pcvs.el (vc-cvs): Require 'vc-cvs.
17393 2013-07-24 Juanma Barranquero <lekktu@gmail.com>
17395 * desktop.el (desktop-restoring-frames-p): Return a true boolean.
17396 (desktop-restore-frames): Warn when deleting an existing frame failed.
17398 2013-07-24 Glenn Morris <rgm@gnu.org>
17400 * ffap.el (ffap-machine-p): Handle "not known" response. (Bug#14929)
17402 2013-07-24 Michael Albinus <michael.albinus@gmx.de>
17404 * filenotify.el (file-notify-supported-p):
17405 * net/tramp-sh.el (tramp-sh-handle-file-notify-supported-p):
17408 * autorevert.el (auto-revert-use-notify)
17409 (auto-revert-notify-add-watch):
17410 * net/tramp.el (tramp-file-name-for-operation):
17411 * net/tramp-adb.el (tramp-adb-file-name-handler-alist):
17412 * net/tramp-gvfs.el (tramp-gvfs-file-name-handler-alist):
17413 * net/tramp-sh.el (tramp-sh-file-name-handler-alist):
17414 * net/tramp-smb.el (tramp-smb-file-name-handler-alist):
17415 Remove `file-notify-supported-p' entry.
17417 2013-07-24 Glenn Morris <rgm@gnu.org>
17419 * printing.el: Replace all uses of deleted ps-windows-system,
17420 ps-lp-system, ps-flatten-list with lpr- versions.
17422 2013-07-24 Stefan Monnier <monnier@iro.umontreal.ca>
17424 * emacs-lisp/pcase.el (pcase--u1): Verify if self-quoting values can be
17425 checked with memq (bug#14935).
17427 * files.el (revert-buffer-function): Use a non-nil default.
17428 (revert-buffer-preserve-modes): Declare var to
17429 provide access to the `preserve-modes' argument.
17430 (revert-buffer): Let-bind it.
17431 (revert-buffer--default): New function, extracted from revert-buffer.
17433 2013-07-24 Stefan Monnier <monnier@iro.umontreal.ca>
17435 * lpr.el: Signal print errors more prominently.
17436 (print-region-function): Don't default to nil.
17437 (lpr-print-region): New function, extracted from print-region-1.
17438 Check lpr's return value and signal an error in case of problem.
17439 (print-region-1): Use it.
17440 * ps-print.el (ps-windows-system, ps-lp-system): Remove. Use the lpr-*
17442 (ps-printer-name): Default to nil.
17443 (ps-printer-name-option): Default to lpr-printer-switch.
17444 (ps-print-region-function): Don't default to nil.
17445 (ps-postscript-code-directory): Simplify default.
17446 (ps-do-despool): Use lpr-print-region to properly check the outcome.
17447 (ps-string-list, ps-eval-switch, ps-flatten-list)
17448 (ps-flatten-list-1): Remove.
17449 (ps-multibyte-buffer): Avoid setq.
17450 * dos-w32.el (direct-print-region-helper): Use proper regexp operators.
17451 (print-region-function, ps-print-region-function): Don't set them here.
17453 2013-07-24 Xue Fuqiao <xfq.free@gmail.com>
17455 * ido.el (ido-fractionp, ido-cache-ftp-work-directory-time)
17456 (ido-max-prospects, ido-mode, ido-max-file-prompt-width)
17457 (ido-unc-hosts-cache, ido-max-directory-size, ido-max-dir-file-cache)
17458 (ido-decorations): Doc fix.
17460 * ansi-color.el: Fix old URL.
17462 2013-07-23 Michael R. Mauger <michael@mauger.com>
17464 * progmodes/sql.el: Version 3.3
17465 (sql-product-alist): Improve oracle :prompt-cont-regexp.
17466 (sql-starts-with-prompt-re, sql-ends-with-prompt-re): New functions.
17467 (sql-interactive-remove-continuation-prompt): Rewrite, use
17468 functions above. Fix continuation prompt and complete output line
17470 (sql-redirect-one, sql-execute): Use `read-only-mode' on
17471 redirected output buffer.
17472 (sql-mode): Restore deleted code (Bug#13591).
17474 2013-07-23 Juanma Barranquero <lekktu@gmail.com>
17476 * desktop.el (desktop-clear, desktop-list*): Fix previous change.
17478 2013-07-23 Michael Albinus <michael.albinus@gmx.de>
17480 * net/tramp.el (tramp-handle-file-notify-add-watch): New defun.
17482 * net/tramp-adb.el (tramp-adb-file-name-handler-alist):
17483 * net/tramp-gvfs.el (tramp-gvfs-file-name-handler-alist):
17484 * net/tramp-smb.el (tramp-smb-file-name-handler-alist): Use it.
17486 2013-07-23 Juanma Barranquero <lekktu@gmail.com>
17488 * desktop.el (desktop-clear): Simplify; remove useless checks
17489 against invalid buffer names.
17490 (desktop-list*): Use cl-list*.
17491 (desktop-buffer-info, desktop-create-buffer): Simplify.
17493 2013-07-23 Leo Liu <sdl.web@gmail.com>
17495 * bookmark.el (bookmark-make-record): Restore NAME as a default
17498 2013-07-22 Stefan Monnier <monnier@iro.umontreal.ca>
17500 * emacs-lisp/autoload.el (autoload--setup-output): New function,
17501 extracted from autoload--insert-text.
17502 (autoload--insert-text): Remove.
17503 (autoload--print-cookie-text): New function, extracted from
17504 autoload--insert-cookie-text.
17505 (autoload--insert-cookie-text): Remove.
17506 (autoload-generate-file-autoloads): Adjust calls accordingly.
17508 * winner.el (winner-hook-installed-p): Remove.
17509 (winner-mode): Simplify accordingly.
17511 * subr.el (add-to-list): Fix compiler-macro when `append' is
17512 not constant. Don't use `cl-member' for the base case.
17514 * progmodes/subword.el: Fix boundary case (bug#13758).
17515 (subword-forward-regexp): Make it a constant. Wrap optional \\W in its
17517 (subword-backward-regexp): Make it a constant.
17518 (subword-forward-internal): Don't treat a trailing capital as the
17519 beginning of a word.
17521 2013-07-22 Ari Roponen <ari.roponen@gmail.com> (tiny change)
17523 * emacs-lisp/package.el (package-menu-mode): Don't modify the
17524 global value of tabulated-list-revert-hook (bug#14930).
17526 2013-07-22 Juanma Barranquero <lekktu@gmail.com>
17528 * desktop.el: Require 'cl-lib.
17529 (desktop-before-saving-frames-functions): New hook.
17530 (desktop--process-minibuffer-frames): Set desktop-mini parameter only
17531 for frames being saved. Rename from desktop--save-minibuffer-frames.
17532 (desktop-save-frames): Run hook desktop-before-saving-frames-functions.
17533 Do not save frames with non-nil `desktop-dont-save' parameter.
17534 Filter out deleted frames.
17535 (desktop--find-frame): Use cl-find-if.
17536 (desktop--select-frame): Use cl-(first|second|third) to access values
17538 (desktop--make-frame): Use cl-delete-if.
17539 (desktop--sort-states): Fix sorting of minibuffer-owning frames.
17540 (desktop-restore-frames): Use cl-(first|second|third) to access values
17541 of desktop-mini. Look for visible frame at the end, not while
17544 * dired-x.el (dired-mark-unmarked-files, dired-virtual)
17545 (dired-guess-default, dired-mark-sexp, dired-filename-at-point):
17546 Use string-match-p, looking-at-p (bug#14927).
17548 2013-07-21 Juanma Barranquero <lekktu@gmail.com>
17550 * desktop.el (desktop-saved-frame-states):
17551 Rename from desktop--saved-states; all users changed.
17552 (desktop-save-frames): Rename from desktop--save-frames.
17553 Do not save state to desktop file.
17554 (desktop-save): Save desktop-saved-frame-states to desktop file
17556 (desktop-restoring-frames-p): New function.
17557 (desktop-restore-frames): Use it. Rename from desktop--restore-frames.
17558 (desktop-read): Use desktop-restoring-frames-p. Do not try to fix
17559 buffer-lists when restoring frames. Suggested by Martin Rudalics.
17561 * desktop.el: Correctly restore iconified frames.
17562 (desktop--filter-iconified-position): New function.
17563 (desktop-filter-parameters-alist): Add entries for `top' and `left'.
17565 2013-07-20 Glenn Morris <rgm@gnu.org>
17567 * progmodes/gdb-mi.el (gdb-delete-handler, gdb-stopped):
17568 Let `message' do the formatting.
17569 (def-gdb-preempt-display-buffer): Add explicit format.
17571 * image-dired.el (image-dired-track-original-file):
17572 Use with-current-buffer.
17573 (image-dired-track-thumbnail): Use with-current-buffer.
17574 Avoid changing point of wrong window.
17576 * image-dired.el (image-dired-track-original-file):
17577 Avoid changing point of wrong window. (Bug#14909)
17579 2013-07-20 Richard Copley <rcopley@gmail.com> (tiny change)
17581 * progmodes/gdb-mi.el (gdb-done-or-error):
17582 Guard against "%" in gdb output. (Bug#14127)
17584 2013-07-20 Andreas Schwab <schwab@linux-m68k.org>
17586 * progmodes/sh-script.el (sh-read-variable): Remove interactive spec.
17589 * international/mule.el (coding-system-iso-2022-flags): Fix last
17592 2013-07-20 Kenichi Handa <handa@gnu.org>
17594 * international/mule.el (coding-system-iso-2022-flags):
17595 Add `8-bit-level-4'. (Bug#8522)
17597 2013-07-19 Lars Magne Ingebrigtsen <larsi@gnus.org>
17599 * net/shr.el (shr-mouse-browse-url): New command and keystroke
17602 * net/eww.el (eww-process-text-input): Allow inputting when the
17603 point is at the start of the line, as the properties aren't
17606 * net/shr.el (shr-make-table-1): Ensure that we don't infloop on
17609 2013-07-19 Richard Stallman <rms@gnu.org>
17611 * epa.el (epa-popup-info-window): Doc fix.
17613 * subr.el (split-string): New arg TRIM.
17615 2013-07-18 Juanma Barranquero <lekktu@gmail.com>
17617 * frame.el (blink-cursor-timer-function, blink-cursor-suspend):
17618 Add check for W32 (followup to 2013-07-16T11:41:06Z!jan.h.d@swipnet.se).
17620 2013-07-18 Michael Albinus <michael.albinus@gmx.de>
17622 * filenotify.el (file-notify--library): Rename from
17623 `file-notify-support'. Do not autoload. Adapt all uses.
17624 (file-notify-supported-p): New defun.
17626 * autorevert.el (auto-revert-use-notify):
17627 Use `file-notify-supported-p' instead of `file-notify-support'.
17629 (auto-revert-notify-add-watch): Use `file-notify-supported-p'.
17631 * net/tramp.el (tramp-file-name-for-operation):
17632 Add `file-notify-supported-p'.
17634 * net/tramp-sh.el (tramp-sh-handle-file-notify-supported-p):
17636 (tramp-sh-file-name-handler-alist): Add it as handler for
17637 `file-notify-supported-p '.
17639 * net/tramp-adb.el (tramp-adb-file-name-handler-alist):
17640 * net/tramp-gvfs.el (tramp-gvfs-file-name-handler-alist):
17641 * net/tramp-smb.el (tramp-smb-file-name-handler-alist):
17642 Add `ignore' as handler for `file-notify-*' functions.
17644 2013-07-17 Eli Zaretskii <eliz@gnu.org>
17646 * simple.el (line-move-partial, line-move): Don't start vscroll or
17647 scroll-up if the current line is not taller than the window.
17650 2013-07-16 Dmitry Gutov <dgutov@yandex.ru>
17652 * progmodes/ruby-mode.el (ruby-font-lock-keywords): Do not
17653 highlight question marks in the method names as strings.
17654 (ruby-block-beg-keywords): Inline.
17655 (ruby-font-lock-keyword-beg-re): Extract from
17656 `ruby-font-lock-keywords'.
17658 2013-07-16 Jan Djärv <jan.h.d@swipnet.se>
17660 * frame.el (blink-cursor-blinks): New defcustom.
17661 (blink-cursor-blinks-done): New defvar.
17662 (blink-cursor-start): Set blink-cursor-blinks-done to 1.
17663 (blink-cursor-timer-function): Check if number of blinks has been
17665 (blink-cursor-suspend, blink-cursor-check): New defuns.
17667 2013-07-15 Glenn Morris <rgm@gnu.org>
17669 * edmacro.el (edmacro-format-keys): Fix previous change.
17671 2013-07-15 Paul Eggert <eggert@cs.ucla.edu>
17673 * shell.el (explicit-bash-args): Remove obsolete hack for Bash 1.x.
17674 The hack didn't work outside English locales anyway.
17676 2013-07-15 Juanma Barranquero <lekktu@gmail.com>
17678 * simple.el (define-alternatives): Rename from alternatives-define,
17679 per RMS' suggestion.
17681 2013-07-14 Juanma Barranquero <lekktu@gmail.com>
17683 * desktop.el (desktop-restore-frames): Change default to t.
17684 (desktop-restore-in-current-display): Now offer more options.
17685 (desktop-restoring-reuses-frames): New customization option.
17686 (desktop--saved-states): Doc fix.
17687 (desktop-filter-parameters-alist): New variable, renamed and expanded
17688 from desktop--excluded-frame-parameters.
17689 (desktop--target-display): New variable.
17690 (desktop-switch-to-gui-p, desktop-switch-to-tty-p)
17691 (desktop--filter-tty*, desktop--filter-*-color)
17692 (desktop--filter-minibuffer, desktop--filter-restore-desktop-parm)
17693 (desktop--filter-save-desktop-parm)
17694 (desktop-restore-in-original-display-p): New functions.
17695 (desktop--filter-frame-parms): Use new desktop-filter-parameters-alist.
17696 (desktop--save-minibuffer-frames): New function, inspired by a similar
17697 function from Martin Rudalics.
17698 (desktop--save-frames): Call it; play nice with desktop-globals-to-save.
17699 (desktop--restore-in-this-display-p): Remove.
17700 (desktop--find-frame): Rename from desktop--find-frame-in-display
17701 and add predicate argument.
17702 (desktop--make-full-frame): Remove, integrated into desktop--make-frame.
17703 (desktop--reuse-list): New variable.
17704 (desktop--select-frame, desktop--make-frame, desktop--sort-states):
17706 (desktop--restore-frames): Add support for "minibuffer-special" frames.
17708 2013-07-14 Michael Albinus <michael.albinus@gmx.de>
17710 * net/tramp-sh.el (tramp-sh-handle-vc-registered): Use `ignore-error'.
17712 2013-07-13 Dmitry Gutov <dgutov@yandex.ru>
17714 * progmodes/ruby-mode.el (ruby-font-lock-keywords):
17715 Highlight conversion methods on Kernel.
17717 2013-07-13 Alan Mackenzie <acm@muc.de>
17719 * progmodes/cc-engine.el (c-forward-decl-or-cast-1): Label CASE 13
17720 and comment it out. This out-commenting enables certain C++
17721 declarations to be parsed correctly.
17723 2013-07-13 Eli Zaretskii <eliz@gnu.org>
17725 * international/mule.el (define-coding-system): Doc fix.
17727 * simple.el (default-font-height): Don't call font-info if the
17728 frame's default font didn't change since the frame was created.
17731 2013-07-13 Leo Liu <sdl.web@gmail.com>
17733 * ido.el (ido-read-file-name): Guard against non-symbol value.
17735 2013-07-13 Fabián Ezequiel Gallina <fgallina@gnu.org>
17737 * progmodes/python.el (python-imenu--build-tree): Fix corner case
17740 2013-07-13 Leo Liu <sdl.web@gmail.com>
17742 * ido.el (ido-exhibit): Handle ido-enter-matching-directory before
17743 ido-set-matches call. (Bug#6852)
17745 2013-07-12 Dmitry Gutov <dgutov@yandex.ru>
17747 * progmodes/ruby-mode.el (ruby-percent-literals-beg-re)
17748 (ruby-syntax-expansion-allowed-p): Support array of symbols, for
17750 (ruby-font-lock-keywords): Distinguish calls to functions with
17751 module-like names from module references. Highlight character
17754 2013-07-12 Sergio Durigan Junior <sergiodj@riseup.net> (tiny change)
17756 * progmodes/gdb-mi.el (gdb-strip-string-backslash): New function.
17757 (gdb-send): Handle continued commands. (Bug#14847)
17759 2013-07-12 Juanma Barranquero <lekktu@gmail.com>
17761 * desktop.el (desktop--v2s): Remove unused local variable.
17762 (desktop-save-buffer): Make defvar-local; adjust docstring.
17763 (desktop-auto-save-timeout, desktop-owner): Use ignore-errors.
17764 (desktop-clear, desktop-save-buffer-p): Use string-match-p.
17766 2013-07-12 Andreas Schwab <schwab@linux-m68k.org>
17768 * emacs-lisp/map-ynp.el (map-y-or-n-p): Fix last change.
17770 2013-07-12 Eli Zaretskii <eliz@gnu.org>
17772 * simple.el (next-line, previous-line): Document TRY-VSCROLL and ARG.
17775 2013-07-12 Glenn Morris <rgm@gnu.org>
17777 * doc-view.el: Require cl-lib at runtime too.
17778 (doc-view-remove-if): Remove.
17779 (doc-view-search-next-match, doc-view-search-previous-match):
17782 * edmacro.el: Require cl-lib at runtime too.
17783 (edmacro-format-keys, edmacro-parse-keys): Use cl-mismatch, cl-subseq.
17784 (edmacro-mismatch, edmacro-subseq): Remove.
17786 * shadowfile.el: Require cl-lib.
17787 (shadow-remove-if): Remove.
17788 (shadow-set-cluster, shadow-shadows-of-1, shadow-remove-from-todo):
17791 * wid-edit.el: Require cl-lib.
17792 (widget-choose): Use cl-remove-if.
17793 (widget-remove-if): Remove.
17795 * progmodes/ebrowse.el: Require cl-lib at runtime too.
17796 (ebrowse-delete-if-not): Remove.
17797 (ebrowse-browser-buffer-list, ebrowse-member-buffer-list)
17798 (ebrowse-tree-buffer-list, ebrowse-same-tree-member-buffer-list):
17799 Use cl-delete-if-not.
17801 2013-07-12 Juanma Barranquero <lekktu@gmail.com>
17803 * emacs-lisp/cl-macs.el (cl-multiple-value-bind, cl-multiple-value-setq)
17804 (cl-the, cl-declare, cl-defstruct): Fix typos in docstrings.
17806 2013-07-12 Leo Liu <sdl.web@gmail.com>
17808 * ido.el (dired-do-copy, dired): Set 'ido property. (Bug#11954)
17810 2013-07-11 Glenn Morris <rgm@gnu.org>
17812 * emacs-lisp/edebug.el: Require cl-lib at run-time too.
17813 (edebug-gensym-index, edebug-gensym):
17814 Remove reimplementation of cl-gensym.
17815 (edebug-make-enter-wrapper, edebug-make-form-wrapper): Use cl-gensym.
17817 * thumbs.el: Require cl-lib at run-time too.
17818 (thumbs-gensym-counter, thumbs-gensym):
17819 Remove reimplementation of cl-gensym.
17820 (thumbs-temp-file): Use cl-gensym.
17822 * emacs-lisp/ert.el: Require cl-lib at runtime too.
17823 (ert--cl-do-remf, ert--remprop, ert--remove-if-not)
17824 (ert--intersection, ert--set-difference, ert--set-difference-eq)
17825 (ert--union, ert--gensym-counter, ert--gensym-counter)
17826 (ert--coerce-to-vector, ert--remove*, ert--string-position)
17827 (ert--mismatch, ert--subseq): Remove reimplementations of cl funcs.
17828 (ert-make-test-unbound, ert--expand-should-1)
17829 (ert--expand-should, ert--should-error-handle-error)
17830 (should-error, ert--explain-equal-rec)
17831 (ert--plist-difference-explanation, ert-select-tests)
17832 (ert--make-stats, ert--remove-from-list, ert--string-first-line):
17833 Use cl-lib functions rather than reimplementations.
17835 2013-07-11 Michael Albinus <michael.albinus@gmx.de>
17837 * net/tramp.el (tramp-methods): Extend docstring.
17838 (tramp-connection-timeout): New defcustom.
17839 (tramp-error-with-buffer): Reset timestamp only when appropriate.
17840 (with-tramp-progress-reporter): Simplify.
17841 (tramp-process-actions): Improve messages.
17843 * net/tramp-gvfs.el (tramp-gvfs-maybe-open-connection):
17844 * net/tramp-sh.el (tramp-maybe-open-connection):
17845 Use `tramp-connection-timeout'.
17846 (tramp-methods) <su, sudo, ksu>: Add method specific timeouts.
17849 2013-07-11 Leo Liu <sdl.web@gmail.com>
17851 * ido.el (ido-read-file-name): Conform to the requirements of
17852 read-file-name. (Bug#11861)
17853 (ido-read-directory-name): Conform to the requirements of
17854 read-directory-name.
17856 2013-07-11 Juanma Barranquero <lekktu@gmail.com>
17858 * subr.el (delay-warning): New function.
17860 2013-07-10 Eli Zaretskii <eliz@gnu.org>
17862 * simple.el (default-line-height): New function.
17863 (line-move-partial, line-move): Use it instead of computing the
17864 line height inline.
17865 (line-move-partial): Always compute ROWH. If the last line is
17866 partially-visible, but its text is completely visible, allow
17867 cursor to enter such a partially-visible line.
17869 2013-07-10 Michael Albinus <michael.albinus@gmx.de>
17871 Improve error messages. (Bug#14808)
17873 * net/tramp.el (tramp-current-connection): New defvar, moved from
17875 (tramp-message-show-progress-reporter-message): Remove, not
17877 (tramp-error-with-buffer): Show message in minibuffer.
17878 Discard input before waiting. Reset connection timestamp.
17879 (with-tramp-progress-reporter): Improve messages.
17880 (tramp-process-actions): Use progress reporter. Delete process in
17881 case of error. Improve messages.
17883 * net/tramp-sh.el (tramp-barf-if-no-shell-prompt): Use condition-case.
17884 Call `tramp-error-with-buffer' with vector and buffer.
17885 (tramp-current-connection): Remove.
17886 (tramp-maybe-open-connection): The car of
17887 `tramp-current-connection' are the first 3 slots of the vector.
17889 2013-07-10 Teodor Zlatanov <tzz@lifelogs.com>
17891 * progmodes/cfengine.el (cfengine3-indent-line): Do not indent
17892 inside continued strings.
17894 2013-07-10 Paul Eggert <eggert@cs.ucla.edu>
17896 Timestamp fixes for undo (Bug#14824).
17897 * files.el (clear-visited-file-modtime): Move here from fileio.c.
17899 2013-07-10 Leo Liu <sdl.web@gmail.com>
17901 * files.el (require-final-newline): Allow safe local value.
17904 2013-07-09 Leo Liu <sdl.web@gmail.com>
17906 * ido.el (ido-read-directory-name): Handle fallback.
17907 (ido-read-file-name): Update DIR to ido-current-directory.
17909 (ido-add-virtual-buffers-to-list): Robustify. (Bug#14552)
17911 2013-07-09 Dmitry Gutov <dgutov@yandex.ru>
17913 * progmodes/ruby-mode.el (ruby-font-lock-keywords): Remove extra
17914 "autoload". Remove "warn lower camel case" section, previously
17915 commented out. Highlight negation char. Do not highlight the
17916 target in singleton method definitions.
17918 2013-07-08 Stefan Monnier <monnier@iro.umontreal.ca>
17920 * faces.el (tty-setup-hook): Declare the hook.
17922 * emacs-lisp/pcase.el (pcase--split-pred): Add `vars' argument to try
17923 and detect when a guard/pred depends on local vars (bug#14773).
17924 (pcase--u1): Adjust caller.
17926 2013-07-08 Eli Zaretskii <eliz@gnu.org>
17928 * simple.el (line-move-partial, line-move): Account for
17930 (line-move-partial): Avoid setting vscroll when the last
17931 partially-visible line in window is of default height.
17933 2013-07-08 Lars Magne Ingebrigtsen <larsi@gnus.org>
17935 * net/shr.el (shr-map): Reinstate the `u' key binding, since it's
17938 2013-07-07 Juanma Barranquero <lekktu@gmail.com>
17940 * subr.el (read-quoted-char): Remove unused local variable `char'.
17942 2013-07-07 Michael Kifer <kifer@cs.stonybrook.edu>
17944 * vc/ediff.el (ediff-version): Version update.
17945 (ediff-files-command, ediff3-files-command, ediff-merge-command)
17946 (ediff-merge-with-ancestor-command, ediff-directories-command)
17947 (ediff-directories3-command, ediff-merge-directories-command)
17948 (ediff-merge-directories-with-ancestor-command): New functions.
17949 All are command-line interfaces to ediff: to facilitate calling
17950 Emacs with the appropriate ediff functions invoked.
17952 * emulation/viper-cmd.el (viper-del-forward-char-in-insert):
17954 (viper-save-kill-buffer): Check if buffer is modified.
17956 * emulation/viper.el (viper-version): Version update.
17957 (viper-emacs-state-mode-list): Add egg-status-buffer-mode.
17959 2013-07-07 Stefan Monnier <monnier@iro.umontreal.ca>
17961 * faces.el (tty-run-terminal-initialization): Run new tty-setup-hook.
17962 * emulation/viper-cmd.el (viper-envelop-ESC-key): Remove function.
17963 (viper-intercept-ESC-key): Simplify.
17964 * emulation/viper-keym.el (viper-ESC-key): Make it a constant,
17966 * emulation/viper.el (viper--tty-ESC-filter, viper--lookup-key)
17967 (viper-catch-tty-ESC, viper-uncatch-tty-ESC)
17968 (viper-setup-ESC-to-escape): New functions.
17969 (viper-go-away, viper-set-hooks): Call viper-setup-ESC-to-escape.
17970 (viper-set-hooks): Do not modify flyspell-mode-hook. (Bug#13793)
17972 2013-07-07 Eli Zaretskii <eliz@gnu.org>
17974 * simple.el (default-font-height, window-screen-lines):
17976 (line-move, line-move-partial): Use them instead of
17977 frame-char-height and window-text-height. This makes scrolling
17978 text smoother when the buffer's default face uses a font that is
17979 different from the frame's default font.
17981 2013-07-06 Jan Djärv <jan.h.d@swipnet.se>
17983 * files.el (write-file): Do not display confirm dialog for NS,
17984 it does its own dialog, which can't be canceled (Bug#14578).
17986 2013-07-06 Eli Zaretskii <eliz@gnu.org>
17988 * simple.el (line-move-partial): Adjust the row returned by
17989 posn-at-point for the current window-vscroll. (Bug#14567)
17991 2013-07-06 Michael Albinus <michael.albinus@gmx.de>
17993 * net/tramp-sh.el (tramp-sh-file-gvfs-monitor-dir-process-filter)
17994 (tramp-sh-file-inotifywait-process-filter): Handle file names with
17997 2013-07-06 Martin Rudalics <rudalics@gmx.at>
17999 * window.el (window-state-put-stale-windows): New variable.
18000 (window--state-put-2): Save list of windows without matching buffer.
18001 (window-state-put): Remove "bufferless" windows if possible.
18003 2013-07-06 Juanma Barranquero <lekktu@gmail.com>
18005 * simple.el (alternatives-define): Remove leftover :group keyword.
18008 2013-07-06 Leo Liu <sdl.web@gmail.com>
18010 * ido.el (ido-use-virtual-buffers): Allow new value 'auto.
18011 (ido-enable-virtual-buffers): New variable.
18012 (ido-buffer-internal, ido-toggle-virtual-buffers)
18013 (ido-make-buffer-list): Use it.
18014 (ido-exhibit): Support turning on and off virtual buffers
18017 2013-07-06 Juanma Barranquero <lekktu@gmail.com>
18019 * simple.el (alternatives-define): New macro.
18021 2013-07-06 Stefan Monnier <monnier@iro.umontreal.ca>
18023 * subr.el (read-quoted-char): Use read-key.
18024 (sit-for): Let read-event decode tty input (bug#14782).
18026 2013-07-05 Stephen Berman <stephen.berman@gmx.net>
18028 * calendar/todo-mode.el: Add handling of file deletion, both by
18029 mode command and externally. Fix various related bugs.
18030 Clarify Commentary and improve some documentation strings and code.
18031 (todo-delete-file): New command.
18032 (todo-check-file): New function.
18033 (todo-show): Handle external deletion of the file we're trying to
18034 show (bug#14688). Replace called-interactively-p by an optional
18035 prefix argument to avoid problematic interaction with catch form
18036 when byte compiled (bug#14702).
18037 (todo-quit): Handle external deletion of the archive's todo file.
18038 Make sure the buffer that was visiting the archive file is still
18039 live before trying to bury it.
18040 (todo-category-completions): Handle external deletion of any
18041 category completion files.
18042 (todo-jump-to-category, todo-basic-insert-item): Recalculate list
18043 of todo files, in case of external deletion.
18044 (todo-add-file): Replace unnecessary setq by let-binding.
18045 (todo-find-archive): Check whether there are any archives.
18046 Replace unnecessary setq by let-binding.
18047 (todo-archive-done-item): Use find-file-noselect to get the
18048 archive buffer whether or not the archive already exists.
18049 Remove superfluous code. Use file size instead of buffer-file-name to
18050 check if the archive is new; if it is, update list of archives.
18051 (todo-default-todo-file): Allow nil to be a valid value for when
18052 there are no todo files.
18053 (todo-reevaluate-default-file-defcustom): Use corrected definition
18054 of todo-default-todo-file.
18055 (todo-key-bindings-t+a+f): Add key binding for todo-delete-file.
18056 (todo-delete-category, todo-show-categories-table)
18057 (todo-category-number): Clarify comment.
18058 (todo-filter-items): Clarify documentation string.
18059 (todo-show-current-file, todo-display-as-todo-file)
18060 (todo-reset-and-enable-done-separator): Tweak documentation string.
18061 (todo-done-separator): Make separator length window-width, since
18062 bug#2749 is now fixed.
18064 2013-07-05 Michael Albinus <michael.albinus@gmx.de>
18066 * net/tramp-sh.el (tramp-sh-handle-file-notify-add-watch):
18067 Support both "gvfs-monitor-dir" and "inotifywait".
18068 (tramp-sh-file-inotifywait-process-filter): Rename from
18069 `tramp-sh-file-notify-process-filter'.
18070 (tramp-sh-file-gvfs-monitor-dir-process-filter)
18071 (tramp-get-remote-gvfs-monitor-dir): New defuns.
18073 2013-07-05 Leo Liu <sdl.web@gmail.com>
18075 * autoinsert.el (auto-insert-alist): Default to lexical-binding.
18077 2013-07-04 YAMAMOTO Mitsuharu <mituharu@math.s.chiba-u.ac.jp>
18079 * frame.el (display-pixel-height, display-pixel-width)
18080 (display-mm-height, display-mm-width): Mention behavior on
18081 multi-monitor setups in docstrings.
18082 (w32-display-monitor-attributes-list): Declare function.
18083 (display-monitor-attributes-list): Use it.
18085 2013-07-04 Michael Albinus <michael.albinus@gmx.de>
18087 * filenotify.el: New package.
18089 * autorevert.el (top): Require filenotify.el.
18090 (auto-revert-notify-enabled): Remove. Use `file-notify-support'
18092 (auto-revert-notify-rm-watch, auto-revert-notify-add-watch)
18093 (auto-revert-notify-handler): Use `file-notify-*' functions.
18095 * subr.el (file-notify-handle-event): Move function to filenotify.el.
18097 * net/tramp.el (tramp-file-name-for-operation):
18098 Handle `file-notify-add-watch' and `file-notify-rm-watch'.
18100 * net/tramp-sh.el (tramp-sh-file-name-handler-alist): Add handler
18101 for `file-notify-add-watch' and `file-notify-rm-watch'.
18102 (tramp-process-sentinel): Improve trace.
18103 (tramp-sh-handle-file-notify-add-watch)
18104 (tramp-sh-file-notify-process-filter)
18105 (tramp-sh-handle-file-notify-rm-watch)
18106 (tramp-get-remote-inotifywait): New defuns.
18108 2013-07-03 Juri Linkov <juri@jurta.org>
18110 * buff-menu.el (Buffer-menu-multi-occur): Add args and move the
18111 call of `occur-read-primary-args' to interactive spec.
18113 * ibuffer.el (ibuffer-mode-map): Bind "M-s a C-o" to
18114 `ibuffer-do-occur' like in buff-menu.el. (Bug#14673)
18116 2013-07-03 Matthias Meulien <orontee@gmail.com>
18118 * buff-menu.el (Buffer-menu-mode-map): Bind "M-s a C-o" to
18119 `Buffer-menu-multi-occur'. Add it to the menu.
18120 (Buffer-menu-mode): Document it in docstring.
18121 (Buffer-menu-multi-occur): New command. (Bug#14673)
18123 2013-07-03 Dmitry Gutov <dgutov@yandex.ru>
18125 * progmodes/ruby-mode.el (ruby-font-lock-keywords): Highlight more
18126 keywords and built-ins.
18128 2013-07-03 Glenn Morris <rgm@gnu.org>
18130 * subr.el (y-or-n-p): Handle empty prompts. (Bug#14770)
18132 Make info-xref checks case-sensitive by default
18133 * info.el (Info-find-node, Info-find-in-tag-table)
18134 (Info-find-node-in-buffer, Info-find-node-2, Info-goto-node):
18135 Add option for exact case matching of nodes.
18136 * info-xref.el (info-xref): New custom group.
18137 (info-xref-case-fold): New option.
18138 (info-xref-goto-node-p): Pass info-xref-case-fold to Info-goto-node.
18140 2013-07-03 Leo Liu <sdl.web@gmail.com>
18142 * ido.el (ido-delete-file-at-head): Respect delete-by-moving-to-trash.
18144 2013-07-03 Dmitry Gutov <dgutov@yandex.ru>
18146 * progmodes/ruby-mode.el (ruby-move-to-block): When we're at a
18147 middle of block statement initially, lower the depth. Remove
18148 FIXME comment, not longer valid. Remove middle of block statement
18149 detection, no need to do that anymore since we've been using
18150 `ruby-parse-region' here.
18152 2013-07-02 Jan Djärv <jan.h.d@swipnet.se>
18154 * term/ns-win.el (display-format-alist): Use .* (Bug#14765).
18156 2013-07-01 Katsumi Yamaoka <yamaoka@jpl.org>
18158 * wid-edit.el (widget-default-get): Don't modify widget (Bug#14738).
18160 2013-07-01 Juanma Barranquero <lekktu@gmail.com>
18162 * desktop.el (desktop-restore-frames): Rename from desktop-save-windows.
18163 (desktop-restore-in-current-display): New customization option.
18164 (desktop--excluded-frame-parameters): Add `font'.
18165 (desktop--save-frames): Rename from desktop--save-windows.
18166 (desktop--restore-in-this-display-p): New function.
18167 (desktop--make-full-frame): Remove unwanted width/height from
18168 full(width|height) frames.
18169 (desktop--restore-frames): Rename from desktop--restore-windows.
18170 Obey desktop-restore-current-display. Do not delete old frames or
18171 select a new frame unless we were able to restore at least one frame.
18173 2013-06-30 Michal Nazarewicz <mina86@mina86.com>
18175 * files.el (find-file-noselect): Simplify conditional expression.
18177 * textmodes/remember.el (remember-append-to-file):
18178 Don't mix `find-buffer-visiting' and `get-file-buffer'.
18180 Add `remember-notes' function to store random notes across Emacs
18182 * textmodes/remember.el (remember-data-file): Add :set callback to
18183 affect notes buffer (if any).
18184 (remember-notes): New command.
18185 (remember-notes-buffer-name, bury-remember-notes-on-kill):
18186 New defcustoms for the `remember-notes' function.
18187 (remember-notes-save-and-bury-buffer): New command.
18188 (remember-notes-mode-map): New variable.
18189 (remember-mode): New minor mode.
18190 (remember-notes--kill-buffer-query): New function.
18191 * startup.el (initial-buffer-choice): Add notes to custom type.
18193 2013-06-30 Eli Zaretskii <eliz@gnu.org>
18195 * bindings.el (right-char, left-char): Don't call sit-for, this is
18196 no longer needed. Use arithmetic comparison only for numerical
18199 * international/mule-cmds.el (select-safe-coding-system):
18200 Handle the case of FROM being a string correctly. (Bug#14755)
18202 2013-06-30 Lars Magne Ingebrigtsen <larsi@gnus.org>
18204 * net/shr.el (shr-make-table-1): Add a sanity check that allows
18205 progression on degenerate tables.
18206 (shr-rescale-image): ImageMagick animated images currently don't work.
18208 2013-06-30 Juanma Barranquero <lekktu@gmail.com>
18210 Some fixes and improvements for desktop frame restoration.
18211 It is still experimental and disabled by default.
18212 * desktop.el (desktop--save-windows): Put the selected frame at
18213 the head of the list.
18214 (desktop--make-full-frame): New function.
18215 (desktop--restore-windows): Try to re-select the frame that was
18216 selected upon saving. Do not abort if some frames fail to restore,
18217 just show an error message and continue. Set up maximized frames
18218 so they have default non-maximized dimensions.
18220 2013-06-30 Dmitry Gutov <dgutov@yandex.ru>
18222 * progmodes/ruby-mode.el (ruby-syntax-propertize-function):
18223 Don't start heredoc inside a string or comment.
18225 2013-06-29 Eli Zaretskii <eliz@gnu.org>
18227 * bindings.el (visual-order-cursor-movement): New defcustom.
18228 (right-char, left-char): Provide visual-order cursor motion by
18229 calling move-point-visually. Update the doc strings.
18231 2013-06-28 Kenichi Handa <handa@gnu.org>
18233 * international/mule.el (define-coding-system): New coding system
18234 properties :inhibit-null-byte-detection,
18235 :inhibit-iso-escape-detection, and :prefer-utf-8.
18236 (set-buffer-file-coding-system): If :charset-list property of
18237 CODING-SYSTEM is `emacs', do not check if CODING-SYSTEM is
18238 appropriate for setting.
18240 * international/mule-cmds.el (select-safe-coding-system):
18241 If DEFAULT-CODING-SYSTEM is prefer-utf-8 and the buffer contains
18242 multibyte characters, return utf-8 (or one of its siblings).
18244 * international/mule-conf.el (prefer-utf-8): New coding system.
18245 (file-coding-system-alist): Use prefer-utf-8 as default for Elisp
18248 2013-06-28 Ivan Kanis <ivan@kanis.fr>
18250 * net/shr.el (shr-render-region): New function.
18252 * net/eww.el: Autoload `eww-browse-url'.
18254 2013-06-27 Dmitry Gutov <dgutov@yandex.ru>
18256 * emacs-lisp/package-x.el (package-upload-buffer-internal):
18257 Adapt to `package-desc-version' being a list.
18258 Use `package--ac-desc-version' to retrieve version from a package
18261 2013-06-27 Juanma Barranquero <lekktu@gmail.com>
18263 New experimental feature to save&restore window and frame setup.
18264 * desktop.el (desktop-save-windows): New defcustom.
18265 (desktop--saved-states): New var.
18266 (desktop--excluded-frame-parameters): New defconst.
18267 (desktop--filter-frame-parms, desktop--find-frame-in-display)
18268 (desktop--restore-windows, desktop--save-windows): New functions.
18269 (desktop-save): Call `desktop--save-windows'.
18270 (desktop-read): Call `desktop--restore-windows'.
18272 2013-06-27 Lars Magne Ingebrigtsen <larsi@gnus.org>
18274 * net/shr.el (add-face-text-property): Remove compat definition.
18276 2013-06-27 Stephen Berman <stephen.berman@gmx.net>
18278 * info.el (Info-try-follow-nearest-node): Move search for footnote
18279 above search for node name to prevent missing a footnote (bug#14717).
18281 2013-06-27 Stephen Berman <stephen.berman@gmx.net>
18283 * obsolete/otodo-mode.el: Add obsolescence info to file header.
18285 2013-06-27 Leo Liu <sdl.web@gmail.com>
18287 * net/eww.el (eww-read-bookmarks): Check file size.
18289 2013-06-26 Stefan Monnier <monnier@iro.umontreal.ca>
18291 * emacs-lisp/nadvice.el (advice--defalias-fset): Move advice back to
18292 advice--pending if newdef is nil or an autoload (bug#13820).
18293 (advice-mapc): New function.
18295 2013-06-26 Lars Magne Ingebrigtsen <larsi@gnus.org>
18297 * net/eww.el (eww-mode): Undo isn't necessary in eww buffers,
18299 (eww-mode-map): Add a menu bar.
18300 (eww-add-bookmark): New command.
18301 (eww-bookmark-mode): New mode and commands.
18302 (eww-add-bookmark): Remove newlines from the title.
18303 (eww-bookmark-browse): Don't bug out if it's the only window.
18305 2013-06-26 Glenn Morris <rgm@gnu.org>
18307 * htmlfontify.el (hfy-triplet): Handle unspecified-fg, bg.
18308 (hfy-size): Handle ttys. (Bug#14668)
18310 * info-xref.el: Update for Texinfo 5 change in *note format.
18311 (info-xref-node-re, info-xref-note-re): New constants.
18312 (info-xref-check-buffer): Use info-xref-note-re.
18314 2013-06-26 Stefan Monnier <monnier@iro.umontreal.ca>
18316 * simple.el (set-variable): Use read-from-minibuffer (bug#14710).
18318 * emacs-lisp/package.el (package--add-to-archive-contents): Add missing
18319 nil terminate the loop (bug#14718).
18321 2013-06-25 Lars Magne Ingebrigtsen <larsi@gnus.org>
18323 * net/eww.el: Rework history traversal. When going forward/back,
18324 put these actions into the history, too, so that they can be
18326 (eww-render): Move the history reset to the correct buffer.
18328 2013-06-25 Juri Linkov <juri@jurta.org>
18330 * files-x.el (modify-dir-local-variable): Change the header comment
18331 in the file with directory local variables. (Bug#14692)
18333 * files-x.el (read-file-local-variable-value): Add `default'.
18336 2013-06-25 Lars Magne Ingebrigtsen <larsi@gnus.org>
18338 * net/eww.el (eww-make-unique-file-name): Create a unique file
18339 name before saving to entering `y' accidentally asynchronously.
18341 2013-06-25 Ivan Kanis <ivan@kanis.fr>
18343 * net/eww.el (eww-download): New command and keystroke.
18345 2013-06-25 Lars Magne Ingebrigtsen <larsi@gnus.org>
18347 * net/eww.el (eww-copy-page-url): Change name of command.
18349 * net/shr.el (shr-map): Change `shr-copy-url' from `u' to `w' to
18350 be more consistent with Info and dired.
18352 * net/eww.el (eww-mode-map): Ditto.
18354 2013-06-25 Stefan Monnier <monnier@iro.umontreal.ca>
18356 * emacs-lisp/package.el: Use lexical-binding. Include obsolete
18357 packages from archives.
18358 (package-archive-contents): Change format; include obsolete packages.
18359 (package-desc): Use `dir' to mark builtin packages.
18360 (package--from-builtin): Set the `dir' field to `builtin'.
18361 (generated-autoload-file, version-control): Declare.
18362 (package-compute-transaction): Change first arg and return value to be
18363 lists of package-descs. Adjust to new package-archive-contents format.
18364 (package--add-to-archive-contents): Adjust to new
18365 package-archive-contents format.
18366 (package-download-transaction): Arg is now a list of package-descs.
18367 (package-install): If `pkg' is a package name, pass it as
18368 a requirement, so it is subject to the usual (e.g. disabled) checks.
18369 (describe-package): Accept package-desc as well.
18370 (describe-package-1): Describe a specific package-desc. Add links to
18371 other package-descs for the same package name.
18372 (package-menu-describe-package): Pass the actual package-desc.
18373 (package-menu-mode): Add to tabulated-list-revert-hook so revert-buffer
18375 (package-desc-status): New function.
18376 (package-menu--refresh): New function, extracted
18377 from package-menu--generate.
18378 (package-menu--generate): Use it.
18379 (package-delete): Update package-alist.
18380 (package-menu-execute): Don't call package-initialize.
18382 * progmodes/idlw-toolbar.el, progmodes/idlw-shell.el,
18383 progmodes/idlw-help.el, progmodes/idlw-complete-structtag.el,
18384 progmodes/ebnf-yac.el, progmodes/ebnf-otz.el, progmodes/ebnf-iso.el,
18385 progmodes/ebnf-ebx.el, progmodes/ebnf-dtd.el, progmodes/ebnf-bnf.el,
18386 progmodes/ebnf-abn.el, emacs-lisp/package-x.el, emacs-lisp/cl-seq.el,
18387 emacs-lisp/cl-macs.el: Neuter the "Version:" header.
18389 2013-06-25 Martin Rudalics <rudalics@gmx.at>
18391 * window.el (window--state-get-1): Workaround for bug#14527.
18392 http://lists.gnu.org/archive/html/emacs-devel/2013-06/msg00941.html
18394 2013-06-25 Lars Magne Ingebrigtsen <larsi@gnus.org>
18396 * net/eww.el (eww-back-url): Implement the history by stashing all
18397 the data into a list.
18398 (eww-forward-url): Allow going forward in the history, too.
18400 2013-06-25 Stefan Monnier <monnier@iro.umontreal.ca>
18402 * files-x.el (read-file-local-variable-value): Use read-from-minibuffer
18403 for values and use read--expression for expressions (bug#14710).
18404 (read-file-local-variable): Avoid setq.
18405 (read-file-local-variable-mode): Use minor-mode-list.
18407 2013-06-25 Rüdiger Sonderfeld <ruediger@c-plusplus.de>
18409 * textmodes/bibtex.el (bibtex-generate-url-list): Add support
18412 2013-06-25 Rüdiger Sonderfeld <ruediger@c-plusplus.de>
18414 * textmodes/bibtex.el (bibtex-mode, bibtex-set-dialect):
18415 Update imenu-support when dialect changes.
18417 2013-06-25 Leo Liu <sdl.web@gmail.com>
18419 * ido.el (ido-read-internal): Allow forward slash on windows.
18421 2013-06-24 Lars Magne Ingebrigtsen <larsi@gnus.org>
18423 * net/eww.el (eww): Start of strings is \\`, not ^.
18425 2013-06-24 Ivan Kanis <ivan@kanis.fr>
18427 * net/shr.el (shr-browse-url): Fix interactive spec.
18429 * net/eww.el (eww): Add a trailing slash to domain names.
18431 2013-06-24 Juanma Barranquero <lekktu@gmail.com>
18433 * faces.el (face-spec-recalc): Revert part of 2013-06-23T20:29:18Z!lekktu@gmail.com (bug#14705).
18435 2013-06-24 Lars Magne Ingebrigtsen <larsi@gnus.org>
18437 * net/shr.el (shr-browse-url): Use an external browser if given a
18440 * net/eww.el (eww-external-browser): Move to shr.
18442 2013-06-24 Ivan Kanis <ivan@kanis.fr>
18444 * net/eww.el (eww): Work more correctly for file: URLs.
18445 (eww-detect-charset): Allow quoted charsets.
18446 (eww-yank-page-url): New command and keystroke.
18448 2013-06-24 Daiki Ueno <ueno@gnu.org>
18450 * epg.el (epg-make-context): Check if PROTOCOL is valid; embed the
18451 file name of gpg executable.
18452 (epg-context-program): New function.
18453 (epg-context-home-directory): New function.
18454 (epg-context-set-program): New function.
18455 (epg-context-set-home-directory): New function.
18456 (epg--start): Use `epg-context-program' instead of
18458 (epg--list-keys-1): Likewise.
18460 2013-06-24 Leo Liu <sdl.web@gmail.com>
18462 * ido.el (ido-read-internal): Fix bug#14620.
18464 2013-06-23 Juanma Barranquero <lekktu@gmail.com>
18466 * faces.el (face-documentation): Simplify.
18467 (read-face-attribute, tty-find-type, x-resolve-font-name):
18468 Use `string-match-p'.
18469 (list-faces-display): Use `string-match-p'. Simplify.
18470 (face-spec-recalc): Check face to avoid face alias loops.
18471 (read-color): Use `string-match-p' and non-capturing parenthesis.
18473 2013-06-23 Lars Magne Ingebrigtsen <larsi@gnus.org>
18475 * net/shr.el (shr-rescale-image): Use the new
18476 :max-width/:max-height functionality.
18478 2013-06-23 Ivan Kanis <ivan@kanis.fr>
18480 * net/eww.el (eww-search-prefix): New variable.
18482 (eww-external-browser): New variable.
18483 (eww-mode-map): New keystroke.
18484 (eww-browse-with-external-browser): New command.
18486 * net/eww.el: Bind `C-c C-c' to "submit" in all form keymaps.
18488 2013-06-23 Juanma Barranquero <lekktu@gmail.com>
18490 * emacs-lisp/tabulated-list.el (tabulated-list-init-header):
18491 Don't skip aligning the next header field when padding is 0;
18492 otherwise, field width is not respected unless the title is as
18495 2013-06-22 Stefan Monnier <monnier@iro.umontreal.ca>
18497 * emacs-lisp/package.el (package-el-version): Remove.
18498 (package-process-define-package): Fix inf-loop.
18499 (package-install): Allow symbols as arguments again.
18501 2013-06-22 Dmitry Gutov <dgutov@yandex.ru>
18503 * progmodes/ruby-mode.el (ruby-font-lock-keywords): Move `catch',
18504 add some more keyword-like methods.
18505 http://lists.gnu.org/archive/html/emacs-devel/2013-06/msg00911.html
18507 2013-06-22 Juanma Barranquero <lekktu@gmail.com>
18509 * bs.el (bs-buffer-show-mark): Make defvar-local.
18510 (bs-mode): Use setq-local.
18512 * emacs-lock.el (emacs-lock-mode, emacs-lock--old-mode)
18513 (emacs-lock--try-unlocking): Make defvar-local.
18515 2013-06-22 Glenn Morris <rgm@gnu.org>
18517 * play/cookie1.el (cookie-apropos): Minor simplification.
18519 * progmodes/gdb-mi.el (gdb-mapcar*): Remove, replace with cl-mapcar.
18521 2013-06-22 Dmitry Gutov <dgutov@yandex.ru>
18523 * progmodes/ruby-mode.el (auto-mode-alist): Do not use
18524 `regexp-opt', it breaks the build during dumping.
18526 2013-06-21 Dmitry Gutov <dgutov@yandex.ru>
18528 * progmodes/ruby-mode.el (ruby-font-lock-keywords):
18529 Highlight keyword-like methods on Kernel and Module with
18530 font-lock-builtin-face.
18531 (auto-mode-alist): Consolidate different entries into one regexp
18532 and add more *file-s.
18534 2013-06-21 Stephen Berman <stephen.berman@gmx.net>
18536 * obsolete/otodo-mode.el: Move and rename from calendar/todo-mode.el.
18538 * calendar/diary-lib.el (diary-goto-entry-function): New variable.
18539 (diary-entry): Use it in the action of this button type instead of
18542 * calendar/todo-mode.el: New version.
18543 (todo-add-category): Append new category to end of file and give
18544 it the highest number, instead of putting it at the beginning and
18545 giving it 0. Incorporate noninteractive functionality.
18546 (todo-forward-category): Adapt to 1-based category numbering.
18547 Allow skipping over archived categories.
18548 (todo-backward-category): Derive from todo-forward-category.
18549 (todo-backward-item, todo-forward-item): Make noninteractive and
18550 delegate interactive part to new commands. Make sensitive to done items.
18551 (todo-categories): Make value an alist of category names and
18552 vectors of item counts.
18553 (todo-category-beg): Make a defconst.
18554 (todo-category-number): Use 1 instead of 0 as initial value.
18555 (todo-category-select): Make sensitive to overlays, optional item
18556 highlighting and done items.
18557 (todo-delete-item): Make sensitive to overlays and marked and done items.
18558 (todo-edit-item): Make sensitive to overlays and editing of
18559 date/time header optional. Add format checks.
18560 (todo-edit-multiline): Rename to todo-edit-multiline-item. Make a
18561 no-op if point is not on an item. Advertise using todo-edit-quit.
18562 (todo-edit-mode): Make sensitive to new format, font-locking, and
18563 multiple todo files.
18564 (todo-insert-item, todo-insert-item-here): Derive from
18565 todo-basic-insert-item and extend functionality.
18566 (todo-item-end, todo-item-start): Make sensitive to done items.
18567 (todo-item-string): Don't return text properties. Restore point.
18568 (todo-jump-to-category): Make sensitive to multiple todo files and
18569 todo archives. Use extended category completion.
18570 (todo-lower-item, todo-raise-item): Rename to *-priority and
18571 derive from todo-set-item-priority.
18572 (todo-mode): Derive from special-mode. Make sensitive to new
18573 format, font-locking and multiple todo files. Make read-only.
18574 (todo-mode-map): Don't suppress digit keys, so they can supply
18575 prefix arguments. Add many new key bindings.
18576 (todo-prefix): Insert as an overlay instead of file text.
18577 Change semantics from diary date expression to purely visual mark.
18578 (todo-print): Rename to todo-print-buffer. Make buffer display
18579 features printable. Remove option to restrict number of items
18580 printed. Add option to print to file.
18581 (todo-print-function): Rename to todo-print-buffer-function.
18582 (todo-quit): Extend to handle exiting new todo modes.
18583 (todo-remove-item): Make sensitive to overlays.
18584 (todo-save): Extend to buffers of filtered items.
18585 (todo-show): Make sensitive to done items, multiple todo files and
18586 new todo modes. Offer to convert legacy todo file before creating
18587 first new todo file.
18588 (todo-show-priorities): Rename to todo-top-priorities.
18589 Change semantics of value 0.
18590 (todo-top-priorities): Rename to todo-filter-top-priorities,
18591 derive from todo-filter-items and extend functionality.
18592 (todo-save-top-priorities): Rename to todo-save-filtered-items-buffer
18593 and extend functionality to other types of filtered items.
18594 (todo-add-item-non-interactively, todo-ask-p, todo-cat-slct)
18595 (todo-category-end, todo-category-sep, todo-cats, todo-cmd-back)
18596 (todo-cmd-done, todo-cmd-edit, todo-cmd-forw, todo-cmd-inst)
18597 (todo-cmd-kill, todo-cmd-lowr, todo-cmd-next, todo-cmd-prev)
18598 (todo-cmd-rais, todo-cmd-save, todo-completing-read, todo-cp)
18599 (todo-edit-mode-hook, todo-entry-prefix-function)
18600 (todo-entry-timestamp-initials, todo-file-do, todo-file-done)
18601 (todo-file-item, todo-file-top, todo-header, todo-initial-setup)
18602 (todo-initials, todo-insert-threshold, todo-item-string-start)
18603 (todo-line-string, todo-menu, todo-mode-hook)
18604 (todo-more-important-p, todo-previous-answer, todo-previous-line)
18605 (todo-print-priorities, todo-remove-separator)
18606 (todo-save-top-priorities-too, todo-string-count-lines)
18607 (todo-string-multiline-p, todo-time-string-format)
18608 (todo-tmp-buffer-name): Remove.
18609 (todo-add-file, todo-archive-done-item, todo-choose-archive)
18610 (todo-convert-legacy-files, todo-copy-item, todo-delete-category)
18611 (todo-edit-category-diary-inclusion)
18612 (todo-edit-category-diary-nonmarking, todo-edit-done-item-comment)
18613 (todo-edit-file, todo-edit-item-date-day)
18614 (todo-edit-item-date-day-name, todo-edit-item-date-from-calendar)
18615 (todo-edit-item-date-month, todo-edit-item-date-to-today)
18616 (todo-edit-item-date-year, todo-edit-item-diary-inclusion)
18617 (todo-edit-item-diary-nonmarking, todo-edit-item-header)
18618 (todo-edit-item-time, todo-edit-quit, todo-filter-diary-items)
18619 (todo-filter-diary-items-multifile, todo-filter-regexp-items)
18620 (todo-filter-regexp-items-multifile, todo-filter-top-priorities)
18621 (todo-filter-top-priorities-multifile, todo-find-archive)
18622 (todo-find-filtered-items-file, todo-go-to-source-item)
18623 (todo-insert-item-from-calendar, todo-item-done, todo-item-undone)
18624 (todo-jump-to-archive-category, todo-lower-category)
18625 (todo-mark-category, todo-marked-item-p, todo-merge-category)
18626 (todo-move-category, todo-move-item, todo-next-button)
18627 (todo-next-item, todo-padded-string, todo-powerset)
18628 (todo-previous-button, todo-previous-item)
18629 (todo-print-buffer-to-file, todo-raise-category)
18630 (todo-rename-category, todo-repair-categories-sexp, todo-search)
18631 (todo-set-category-number, todo-set-item-priority)
18632 (todo-set-top-priorities-in-category)
18633 (todo-set-top-priorities-in-file, todo-show-categories-table)
18634 (todo-sort-categories-alphabetically-or-numerically)
18635 (todo-sort-categories-by-archived, todo-sort-categories-by-diary)
18636 (todo-sort-categories-by-done, todo-sort-categories-by-todo)
18637 (todo-toggle-item-header, todo-toggle-item-highlighting)
18638 (todo-toggle-mark-item, todo-toggle-prefix-numbers)
18639 (todo-toggle-view-done-items, todo-toggle-view-done-only)
18640 (todo-unarchive-items, todo-unmark-category): New commands.
18641 (todo-absolute-file-name, todo-add-to-buffer-list)
18642 (todo-adjusted-category-label-length, todo-basic-edit-item-header)
18643 (todo-basic-insert-item, todo-category-completions)
18644 (todo-category-number, todo-category-string-matcher-1)
18645 (todo-category-string-matcher-2, todo-check-filtered-items-file)
18646 (todo-check-format, todo-clear-matches)
18647 (todo-comment-string-matcher, todo-convert-legacy-date-time)
18648 (todo-current-category, todo-date-string-matcher)
18649 (todo-define-insertion-command, todo-diary-expired-matcher)
18650 (todo-diary-goto-entry, todo-diary-item-p)
18651 (todo-diary-nonmarking-matcher, todo-display-as-todo-file)
18652 (todo-display-categories, todo-display-sorted, todo-done-item-p)
18653 (todo-done-item-section-p, todo-done-separator)
18654 (todo-done-string-matcher, todo-files, todo-filter-items)
18655 (todo-filter-items-1, todo-filter-items-filename, todo-find-item)
18656 (todo-gen-arglists, todo-get-count, todo-get-overlay, todo-indent)
18657 (todo-insert-category-line, todo-insert-item-from-calendar)
18658 (todo-insert-sort-button, todo-insert-with-overlays)
18659 (todo-insertion-command-name, todo-insertion-key-bindings)
18660 (todo-label-to-key, todo-longest-category-name-length)
18661 (todo-make-categories-list, todo-mode-external-set)
18662 (todo-mode-line-control, todo-modes-set-1, todo-modes-set-2)
18663 (todo-modes-set-3, todo-multiple-filter-files)
18664 (todo-nondiary-marker-matcher, todo-prefix-overlays)
18665 (todo-read-category, todo-read-date, todo-read-dayname)
18666 (todo-read-file-name, todo-read-time)
18667 (todo-reevaluate-category-completions-files-defcustom)
18668 (todo-reevaluate-default-file-defcustom)
18669 (todo-reevaluate-filelist-defcustoms)
18670 (todo-reevaluate-filter-files-defcustom)
18671 (todo-reset-and-enable-done-separator, todo-reset-comment-string)
18672 (todo-reset-done-separator, todo-reset-done-separator-string)
18673 (todo-reset-done-string, todo-reset-global-current-todo-file)
18674 (todo-reset-highlight-item, todo-reset-nondiary-marker)
18675 (todo-reset-prefix, todo-set-categories)
18676 (todo-set-date-from-calendar, todo-set-show-current-file)
18677 (todo-set-top-priorities, todo-short-file-name)
18678 (todo-show-current-file, todo-sort, todo-time-string-matcher)
18679 (todo-total-item-counts, todo-update-buffer-list)
18680 (todo-update-categories-display, todo-update-categories-sexp)
18681 (todo-update-count, todo-validate-name, todo-y-or-n-p):
18683 (todo-archive-mode, todo-categories-mode, todo-filtered-items-mode):
18685 (todo-categories, todo-display, todo-edit, todo-faces)
18686 (todo-filtered): New defgroups.
18687 (todo-archived-only, todo-button, todo-category-string, todo-date)
18688 (todo-diary-expired, todo-done, todo-done-sep, todo-comment)
18689 (todo-mark, todo-nondiary, todo-prefix-string, todo-search)
18690 (todo-sorted-column, todo-time, todo-top-priority): New deffaces.
18691 (todo-add-item-if-new-category, todo-always-add-time-string)
18692 (todo-categories-align, todo-categories-archived-label)
18693 (todo-categories-category-label, todo-categories-diary-label)
18694 (todo-categories-done-label, todo-categories-number-separator)
18695 (todo-categories-todo-label, todo-categories-totals-label)
18696 (todo-category-completions-files, todo-completion-ignore-case)
18697 (todo-default-todo-file, todo-diary-nonmarking, todo-directory)
18698 (todo-done-separator-string, todo-done-string)
18699 (todo-files-function, todo-filter-done-items, todo-filter-files)
18700 (todo-highlight-item, todo-include-in-diary, todo-indent-to-here)
18701 (todo-initial-category, todo-initial-file, todo-item-mark)
18702 (todo-legacy-date-time-regexp, todo-mode-line-function)
18703 (todo-nondiary-marker, todo-number-prefix)
18704 (todo-print-buffer-function, todo-show-current-file)
18705 (todo-show-done-only, todo-show-first, todo-show-with-done)
18706 (todo-skip-archived-categories, todo-top-priorities-overrides)
18707 (todo-undo-item-omit-comment, todo-use-only-highlighted-region)
18708 (todo-visit-files-commands, todo-wrap-lines, todo-y-with-space):
18710 (todo-category-done, todo-date-pattern, todo-date-string-start)
18711 (todo-diary-items-buffer, todo-done-string-start)
18712 (todo-filtered-items-buffer, todo-item-start)
18713 (todo-month-abbrev-array, todo-month-name-array)
18714 (todo-nondiary-end, todo-nondiary-start, todo-regexp-items-buffer)
18715 (todo-top-priorities-buffer): New defconsts.
18716 (todo-archive-mode-map, todo-archives, todo-categories-mode-map)
18717 (todo-categories-with-marks, todo-category-string-face)
18718 (todo-comment-face, todo-comment-string, todo-current-todo-file)
18719 (todo-date-face, todo-date-from-calendar, todo-descending-counts)
18720 (todo-diary-expired-face, todo-done-face, todo-done-sep-face)
18721 (todo-done-separator, todo-edit-buffer, todo-edit-mode-map)
18722 (todo-file-buffers, todo-files, todo-filtered-items-mode-map)
18723 (todo-font-lock-keywords, todo-global-current-todo-file)
18724 (todo-insertion-commands, todo-insertion-commands-arg-key-list)
18725 (todo-insertion-commands-args)
18726 (todo-insertion-commands-args-genlist)
18727 (todo-insertion-commands-names, todo-insertion-map)
18728 (todo-key-bindings-t, todo-key-bindings-t+a)
18729 (todo-key-bindings-t+a+f, todo-key-bindings-t+f, todo-mode-map)
18730 (todo-multiple-filter-files, todo-multiple-filter-files-widget)
18731 (todo-nondiary-face, todo-print-buffer, todo-time-face)
18732 (todo-visited): New variables.
18734 2013-06-21 Glenn Morris <rgm@gnu.org>
18736 * play/cookie1.el (cookie-apropos): Add optional display argument.
18737 * obsolete/yow.el (apropos-zippy): Use cookie-apropos.
18738 (psychoanalyze-pinhead): Use cookie-doctor.
18740 2013-06-21 Juanma Barranquero <lekktu@gmail.com>
18742 * emacs-lisp/package.el (tar-get-file-descriptor)
18743 (tar--extract): Declare.
18745 2013-06-21 Eduard Wiebe <usenet@pusto.de>
18747 Extend flymake's warning predicate to be a function (bug#14217).
18748 * progmodes/flymake.el (flymake-warning-predicate): New.
18749 (flymake-parse-line): Use it.
18750 (flymake-warning-re): Make obsolete alias to
18751 `flymake-warning-predicate'.
18753 2013-06-21 Stefan Monnier <monnier@iro.umontreal.ca>
18755 * emacs-lisp/package.el (package-alist): Include obsolete packages.
18756 (package-obsolete-list): Remove.
18757 (package-activate): Remove min-version argument. Add `force' argument.
18758 Adjust to new package-alist format.
18759 (package-mark-obsolete): Remove.
18760 (package-unpack): Force reload of the package's autoloads.
18761 (package-installed-p): Check builtins if the installed package is not
18763 (package-initialize): Don't reset package-obsolete-list.
18764 Don't specify which package version to activate.
18765 (package-process-define-package, describe-package-1)
18766 (package-menu--generate): Adjust to new package-alist format.
18768 2013-06-21 Juanma Barranquero <lekktu@gmail.com>
18770 * allout-widgets.el (allout-widgets-mode-off)
18771 (allout-widgets-mode-on, allout-widgets-pre-command-business)
18772 (allout-widgets-post-command-business)
18773 (allout-widgets-after-copy-or-kill-function)
18774 (allout-widgets-after-undo-function, allout-test-range-overlaps)
18775 (allout-decorate-item-and-context)
18776 (allout-graphics-modification-handler): Fix typos in docstrings.
18777 (allout-get-or-create-parent-widget): Use `looking-at-p'.
18779 * cmuscheme.el (scheme-start-file): Doc fix.
18780 (inferior-scheme-mode, switch-to-scheme): Fix typos in docstrings.
18781 (scheme-input-filter): Use `string-match-p'.
18783 * composite.el (compose-gstring-for-terminal): Fix typo in docstring.
18785 * dired-x.el: Use Dired consistently in docstrings.
18787 * dired.el: Use Dired consistently in docstrings.
18788 (dired-readin, dired-mode): Use `setq-local'.
18789 (dired-switches-alist): Make defvar-local.
18790 (dired-buffers-for-dir): Use `zerop'.
18791 (dired-safe-switches-p, dired-switches-escape-p)
18792 (dired-insert-old-subdirs, dired-move-to-end-of-filename)
18793 (dired-glob-regexp, dired-in-this-tree, dired-goto-file-1)
18794 (dired-sort-set-mode-line, dired-sort-toggle, dired-sort-R-check)
18795 (dired-goto-next-nontrivial-file): Use `string-match-p'.
18796 (dired-align-file, dired-insert-directory, dired-mark-files-in-region)
18797 (dired-toggle-marks, dired-mark-files-containing-regexp)
18798 (dired-mark-symlinks, dired-mark-directories, dired-mark-executables)
18799 (dired-flag-auto-save-files, dired-flag-backup-files):
18800 Use `looking-at-p'.
18801 (dired-mark-files-regexp, dired-build-subdir-alist):
18802 Use `string-match-p', `looking-at-p'.
18804 * dos-w32.el (untranslated-canonical-name, untranslated-file-p)
18805 (direct-print-region-helper): Use `string-match-p'.
18807 2013-06-21 Leo Liu <sdl.web@gmail.com>
18809 * comint.el (comint-redirect-results-list-from-process):
18812 2013-06-21 Lars Magne Ingebrigtsen <larsi@gnus.org>
18814 * net/eww.el (eww-update-header-line-format): Quote % characters.
18816 2013-06-21 Glenn Morris <rgm@gnu.org>
18818 * play/cookie1.el (cookie): New custom group.
18819 (cookie-file): New option.
18820 (cookie-check-file): New function.
18821 (cookie): Make it interactive. Make start and end messages optional.
18822 Interactively, display the result. Default to cookie-file.
18823 (cookie-insert): Default to cookie-file.
18824 (cookie-snarf): Make start and end messages optional.
18825 Default to cookie-file. Use with-temp-buffer.
18826 (cookie-read): Rename from read-cookie.
18827 Make start and end messages optional. Default to cookie-file.
18828 (cookie-shuffle-vector): Rename from shuffle-vector. Use dotimes.
18829 Do not autoload it.
18830 (cookie-apropos, cookie-doctor): New functions, copied from yow.el
18831 * obsolete/yow.el (read-zippyism): Use new name for read-cookie.
18833 2013-06-21 Leo Liu <sdl.web@gmail.com>
18835 * progmodes/octave.el (octave-mode): Backward compatibility fix.
18837 2013-06-21 Glenn Morris <rgm@gnu.org>
18839 * font-lock.el (lisp-font-lock-keywords-2): Add with-eval-after-load.
18841 2013-06-21 Stefan Monnier <monnier@iro.umontreal.ca>
18842 Daniel Hackney <dan@haxney.org>
18844 * emacs-lisp/package.el: Use tar-mode rather than tar executable.
18845 Consolidate the single-file vs tarball code.
18846 (package-desc-suffix): New function.
18847 (package-desc-full-name): Don't bother inlining it.
18848 (package-load-descriptor): Return the new package-desc.
18849 (package-mark-obsolete): Remove unused arg `package'.
18850 (package-unpack): Make it work for single files as well.
18851 Make it update package-alist.
18852 (package--make-autoloads-and-stuff): Rename from
18853 package--make-autoloads-and-compile. Don't compile any more.
18854 (package--compile): New function.
18855 (package-generate-description-file): New function, extracted from
18856 package-unpack-single.
18857 (package-unpack-single): Remove.
18858 (package--with-work-buffer): Add indentation and debugging info.
18859 (package-download-single): Remove.
18860 (package-install-from-archive): Rename from package-download-tar, make
18861 it take a pkg-desc, and make it work for single files as well.
18862 (package-download-transaction): Simplify.
18863 (package-tar-file-info): Remove `file' arg. Rewrite not to use an
18864 external tar program.
18865 (package-install-from-buffer): Remove `pkg-desc' argument.
18866 Use package-tar-file-info for tar-mode buffers.
18867 (package-install-file): Simplify accordingly.
18868 (package-archive-base): Change to take a pkg-desc.
18869 * tar-mode.el (tar--check-descriptor): New function, extracted from
18870 tar-get-descriptor.
18871 (tar-get-descriptor): Use it.
18872 (tar-get-file-descriptor): New function.
18873 (tar--extract): New function, extracted from tar-extract.
18874 (tar--extract): Use it.
18875 * emacs-lisp/package-x.el (package-upload-file): Decode the file, in
18876 case the summary uses non-ascii. Adjust to new calling convention of
18877 package-tar-file-info.
18879 2013-06-21 Leo Liu <sdl.web@gmail.com>
18881 * comint.el (comint-redirect-results-list-from-process):
18882 Fix random delay. (Bug#14681)
18884 2013-06-21 Juanma Barranquero <lekktu@gmail.com>
18886 * profiler.el (profiler-format-number): Use log, not log10.
18888 2013-06-20 Juanma Barranquero <lekktu@gmail.com>
18890 * term/x-win.el (emacs-session-filename): Use `locate-user-emacs-file'.
18892 2013-06-20 Stefan Monnier <monnier@iro.umontreal.ca>
18894 * emacs-lisp/cl-loaddefs.el: Don't version-control any more.
18895 * emacs-lisp/cl-lib.el: Load cl-macs when cl-loaddefs is not
18897 * Makefile.in (AUTOGEN_VCS): Move cl-loaddefs.el...
18898 (AUTOGENEL): ... here.
18899 * emacs-lisp/cl-macs.el (cl--sublis): New function.
18900 (cl--defsubst-expand): Use it.
18902 2013-06-20 Paul Eggert <eggert@cs.ucla.edu>
18904 * subr.el (log10): Move here from C code, and declare as obsolete.
18905 All uses of (log10 X) replaced with (log X 10).
18907 2013-06-20 Juanma Barranquero <lekktu@gmail.com>
18909 * emacs-lisp/tabulated-list.el (tabulated-list-format): Fix typo.
18910 Declare with `defvar-local'.
18911 (tabulated-list-use-header-line, tabulated-list-entries)
18912 (tabulated-list-padding, tabulated-list-printer)
18913 (tabulated-list-sort-key): Declare with `defvar-local'.
18914 (tabulated-list-init-header, tabulated-list-print-fake-header):
18917 2013-06-20 Michael Albinus <michael.albinus@gmx.de>
18919 * arc-mode.el (archive-mode): Add `archive-write-file' to
18920 `write-contents-functions' also for remote files. (Bug#14652)
18922 2013-06-20 Juanma Barranquero <lekktu@gmail.com>
18924 * cus-edit.el (custom-commands): Fix typos.
18925 (custom-display): Fix tooltip text.
18926 (custom-magic-alist, custom-filter-face-spec, custom-group-members):
18927 Fix typos in docstrings.
18928 (custom--initialize-widget-variables, Custom-mode): Use `setq-local'.
18929 (custom-unlispify-menu-entry, custom-magic-value-create)
18930 (custom-add-see-also, custom-group-value-create): Use ?\s.
18931 (custom-guess-type, customize-apropos, editable-field)
18932 (custom-face-value-create): Use `string-match-p'.
18933 (custom-save-variables, custom-save-faces): Use `looking-at-p'.
18935 * custom.el (custom-load-symbol): Use `string-match-p'.
18937 * ansi-color.el: Convert to lexical binding.
18938 (ansi-colors): Fix URL.
18939 (ansi-color-context, ansi-color-context-region): Use defvar-local.
18940 (ansi-color-apply-sequence, ansi-color-map): Fix typos in docstrings.
18941 (ansi-color-make-color-map): Rename local var ansi-color-map to map.
18943 2013-06-19 Lars Magne Ingebrigtsen <larsi@gnus.org>
18945 * net/eww.el (eww-process-text-input): Display passwords as asterisks.
18947 * net/shr.el (shr-make-table-1): Protect against invalid column-spans.
18949 2013-06-19 Tom Tromey <tromey@redhat.com>
18951 * net/eww.el (eww-top-url): Remove.
18952 (eww-home-url, eww-start-url, eww-contents-url): New defvars.
18953 (eww-render): Set new variables. Don't set eww-top-url.
18954 (eww-handle-link): Handle "prev", "home", and "contents".
18955 Downcase the rel text.
18956 (eww-top-url): Choose best top URL.
18958 2013-06-19 Lars Magne Ingebrigtsen <larsi@gnus.org>
18960 * net/eww.el: Rewrite to implement form elements "by hand" instead of
18961 relying in widget.el. Using widget.el leads to too many
18962 user interface inconsistencies.
18963 (eww-self-insert): Implement entering commands in text fields.
18964 (eww-process-text-input): New function to make text input field editing
18966 (eww-submit): Rewrite to use the new-style form methods.
18967 (eww-select-display): Display the correct selected item.
18968 (eww-change-select): Implement changing the select value.
18969 (eww-toggle-checkbox): Implement radio/checkboxes.
18970 (eww-update-field): Fix compilation error.
18971 (eww-tag-textarea): Implement <textarea>.
18973 * net/shr.el (shr-urlify): Use `keymap' instead of `local-map' so that
18974 we don't shadow mode-specific bindings.
18976 * net/eww.el (eww-browse-url): Don't push stuff onto history if there's
18979 * net/shr.el (shr-map): Bind [down-mouse-1] to browse URLs.
18981 2013-06-19 Glenn Morris <rgm@gnu.org>
18983 * emacs-lisp/eieio.el (defclass): Make it eval-and-compile once more.
18985 2013-06-19 Michael Albinus <michael.albinus@gmx.de>
18987 * net/tramp-adb.el (tramp-adb-get-toolbox): Remove function, it is
18990 * net/tramp-sh.el (tramp-find-shell): Don't set "busybox" property.
18992 2013-06-19 Lars Magne Ingebrigtsen <larsi@gnus.org>
18994 * net/browse-url.el (browse-url-browser-function):
18995 `eww-browse-url' has the right calling signature, `eww' does not.
18997 2013-06-19 Glenn Morris <rgm@gnu.org>
18999 * emacs-lisp/bytecomp.el (byte-compile-file-form-autoload):
19000 Only eval autoloaded macros.
19001 (byte-compile-autoload): Only give the macro warning for macros.
19003 * progmodes/cperl-mode.el (ps-bold-faces, ps-italic-faces)
19004 (ps-underlined-faces): Declare.
19006 * progmodes/idlwave.el (func-menu): Only set it up on XEmacs.
19007 (speedbar-add-supported-extension): Declare.
19009 * international/titdic-cnv.el (tit-process-header, miscdic-convert):
19010 Don't include a date stamp in the header of the generated file;
19011 it leads to needless differences between output files.
19013 2013-06-19 Michael Albinus <michael.albinus@gmx.de>
19015 * net/secrets.el (secrets-struct-secret-content-type):
19016 Replace check of introspection data by a test call of "CreateItem".
19017 Some servers do not offer introspection.
19019 2013-06-19 Stefan Monnier <monnier@iro.umontreal.ca>
19021 * electric.el (electric-pair-mode): Improve interaction with
19022 electric-layout-mode.
19023 (electric-pair-default-inhibit): Don't assume (eq char (char-before)).
19024 (electric-pair-syntax): Use text-mode-syntax-table in comments
19026 (electric-pair--insert): New function.
19027 (electric-pair-post-self-insert-function): Use it and
19028 electric--after-char-pos.
19030 2013-06-19 Leo Liu <sdl.web@gmail.com>
19032 * progmodes/octave.el (octave-help): Fix regexp.
19034 2013-06-18 Lars Magne Ingebrigtsen <larsi@gnus.org>
19036 * net/shr.el (shr-make-table-1): Implement <td rowspan>.
19037 (shr-table-horizontal-line): Allow nil as a value, and change the
19039 (shr-insert-table-ruler): Respect the nil value.
19041 2013-06-18 Tom Tromey <tromey@barimba>
19043 * net/eww.el (eww-next-url, eww-previous-url, eww-up-url, eww-top-url):
19045 (eww-open-file): New defun.
19046 (eww-render): Initialize new variables.
19047 (eww-display-html): Handle "link" and "a".
19048 (eww-handle-link, eww-tag-link, eww-tag-a): New defuns.
19049 (eww-mode-map): Move "p" to "l". Bind "p", "n", "t", and "u".
19050 (eww-back-url): Rename from eww-previous-url.
19051 (eww-next-url, eww-previous-url, eww-up-url, eww-top-url):
19054 2013-06-18 Dmitry Gutov <dgutov@yandex.ru>
19056 * progmodes/ruby-mode.el (ruby-syntax-before-regexp-re):
19057 Distinguish ternary operator tokens from slash symbol and slash
19060 2013-06-18 Juanma Barranquero <lekktu@gmail.com>
19062 Convert symbol prettification into minor mode and global minor mode.
19064 * progmodes/prog-mode.el (prettify-symbols-alist): Rename from
19065 `prog-prettify-symbols', and make a local defvar instead of defcustom.
19066 (prettify-symbols--keywords): Rename from
19067 `prog-prettify-symbols-alist' and make a local defvar.
19068 (prettify-symbols--compose-symbol): Rename from
19069 `prog--prettify-font-lock-compose-symbol'.
19070 (prettify-symbols--make-keywords): Rename from
19071 `prog-prettify-font-lock-symbols-keywords' and simplify.
19072 (prog-prettify-install): Remove.
19073 (prettify-symbols-mode): New minor mode, based on
19074 `prog-prettify-install'.
19075 (turn-on-prettify-symbols-mode): New function.
19076 (global-prettify-symbols-mode): New globalized minor mode.
19078 * emacs-lisp/lisp-mode.el (lisp-mode-variables):
19079 * progmodes/cfengine.el (cfengine3-mode):
19080 * progmodes/perl-mode.el (perl-mode): Don't call
19081 `prog-prettify-install'; set `prettify-symbols-alist' instead.
19083 2013-06-18 Juri Linkov <juri@jurta.org>
19085 * files-x.el (modify-file-local-variable-message): New function.
19086 (modify-file-local-variable)
19087 (modify-file-local-variable-prop-line): Add arg INTERACTIVE
19088 and call `modify-file-local-variable-message' when it's non-nil.
19089 (add-file-local-variable, delete-file-local-variable)
19090 (add-file-local-variable-prop-line)
19091 (delete-file-local-variable-prop-line): Add arg INTERACTIVE
19092 and use it. (Bug#9820)
19094 2013-06-18 Juri Linkov <juri@jurta.org>
19096 * emulation/vi.el (vi-shell-op):
19097 * emulation/vip.el (vip-execute-com, ex-command):
19098 * emulation/viper-cmd.el (viper-exec-bang):
19099 * emulation/viper-ex.el (ex-command): Add non-nil arg REPLACE to
19100 the call of `shell-command-on-region'. (Bug#14637)
19102 * simple.el (shell-command-on-region): Doc fix.
19104 2013-06-18 Stefan Monnier <monnier@iro.umontreal.ca>
19106 * emacs-lisp/eieio-custom.el: Remove misleading Version: header
19109 2013-06-18 Glenn Morris <rgm@gnu.org>
19111 * net/eww.el, net/shr.el, net/shr-color.el: Move here from gnus/.
19113 * newcomment.el (comment-search-forward, comment-search-backward):
19114 Doc fix. (Bug#14376)
19116 2013-06-18 Juanma Barranquero <lekktu@gmail.com>
19118 * face-remap.el (buffer-face-toggle): Fix typo in docstring.
19119 (buffer-face-mode-invoke): Doc fix.
19121 2013-06-18 Matthias Meulien <orontee@gmail.com>
19123 * tabify.el (untabify, tabify): With prefix, apply to entire buffer.
19124 <http://lists.gnu.org/archive/html/emacs-devel/2013-03/msg00545.html>
19126 2013-06-18 Glenn Morris <rgm@gnu.org>
19128 * generic-x.el (bat-generic-mode, rc-generic-mode, rul-generic-mode):
19129 Replace obsolete function generic-make-keywords with its expansion.
19131 * progmodes/python.el (ffap-alist): Declare.
19133 * textmodes/reftex.el (bibtex-mode-map): Declare.
19135 2013-06-18 Stefan Monnier <monnier@iro.umontreal.ca>
19137 * emacs-lisp/package.el: Update package-alist after install (bug#14632).
19138 (package-unpack, package-unpack-single): Return the pkg-dir.
19139 (package-download-transaction): Use it to update package-alist.
19141 2013-06-17 Lars Magne Ingebrigtsen <larsi@gnus.org>
19143 * net/browse-url.el (browse-url-browser-function): Add `eww' as a
19146 2013-06-17 Juri Linkov <juri@jurta.org>
19148 * net/webjump.el (webjump-sample-sites): Add DuckDuckGo.
19150 2013-06-17 Dmitry Gutov <dgutov@yandex.ru>
19152 * emacs-lisp/package.el (package-load-descriptor):
19153 Remove `with-syntax-table' call, `read' doesn't need it.
19154 http://lists.gnu.org/archive/html/emacs-devel/2013-06/msg00539.html
19156 2013-06-17 Juanma Barranquero <lekktu@gmail.com>
19158 * startup.el (command-line): Expand package name returned by
19159 `package--description-file' (bug#14639).
19161 2013-06-17 Dmitry Gutov <dgutov@yandex.ru>
19163 * emacs-lisp/package.el (package-load-descriptor): Do not call
19164 `emacs-lisp-mode', just use its syntax table.
19166 2013-06-17 Juanma Barranquero <lekktu@gmail.com>
19168 * progmodes/prog-mode.el (prog-prettify-install): Add `composition' to
19169 `font-lock-extra-managed-props' if any prettifying keyword is added.
19170 (prog--prettify-font-lock-compose-symbol): Use ?\s instead of ?\ .
19171 (prog-mode): Use `setq-local'.
19173 2013-06-17 Stefan Monnier <monnier@iro.umontreal.ca>
19175 * international/characters.el (standard-case-table): Set syntax of ?»
19176 and ?« to punctuation.
19178 2013-06-16 Juanma Barranquero <lekktu@gmail.com>
19180 * progmodes/prog-mode.el (prog--prettify-font-lock-compose-symbol):
19181 Save relevant match data before calling `syntax-ppss' (bug#14595).
19183 2013-06-15 Juri Linkov <juri@jurta.org>
19185 * files-x.el (modify-file-local-variable-prop-line): Add local
19186 variables to the end of the existing comment on the first line.
19187 Use `file-auto-mode-skip' to skip interpreter magic line,
19188 and also skip XML declaration.
19190 2013-06-15 Stefan Monnier <monnier@iro.umontreal.ca>
19192 * startup.el (package--builtin-versions): New var.
19193 (package-subdirectory-regexp): Remove.
19194 (package--description-file): Hard code its value instead.
19196 * emacs-lisp/package.el: Don't activate packages older than builtin.
19197 (package-obsolete-list): Rename from package-obsolete-alist, and make
19198 it into a simple list of package-desc.
19199 (package-strip-version): Remove.
19200 (package-built-in-p): Use package--builtin-versions.
19201 (package-mark-obsolete): Simplify.
19202 (package-process-define-package): Mark it obsolete if older than the
19204 (package-handle-response): Use line-end-position.
19205 (package-read-archive-contents, package--download-one-archive):
19207 (package--add-to-archive-contents): Skip if older than the builtin or
19209 (package-menu-describe-package): Fix last change.
19210 (package-list-unversioned): New var.
19211 (package-menu--generate): Use it.
19213 * emacs-lisp/autoload.el: Manage package--builtin-versions.
19214 (autoload--insert-text, autoload--insert-cookie-text): New functions.
19215 (autoload-builtin-package-versions): New variable.
19216 (autoload-generate-file-autoloads): Use them.
19217 Remove the list of autoloaded functions/macros from the
19218 (autoload...) comments.
19220 * Makefile.in (autoloads): Set autoload-builtin-package-versions.
19222 2013-06-15 Eli Zaretskii <eliz@gnu.org>
19224 * simple.el (line-move-partial): Don't jump to the next screen
19225 line as soon as it becomes visible. Instead, continue enlarging
19226 the vscroll until the portion of a tall screen line that's left on
19227 display is about the height of the frame's default font.
19230 2013-06-15 Glenn Morris <rgm@gnu.org>
19232 * vc/vc-dispatcher.el (vc-compilation-mode): Avoid making
19233 compilation-error-regexp-alist void, or local while let-bound.
19235 * progmodes/make-mode.el (makefile-mode-syntax-table):
19236 Treat "=" as punctuation. (Bug#14614)
19238 2013-06-15 Juanma Barranquero <lekktu@gmail.com>
19240 * help-fns.el (describe-variable):
19241 Add extra line for permanent-local variables.
19243 2013-06-15 Simen Heggestøyl <simenheg@ifi.uio.no> (tiny change)
19245 * progmodes/scheme.el (scheme-font-lock-keywords-2):
19246 Add export, import, library. (Bug#9164)
19247 (library): Set indent function.
19249 2013-06-14 Glenn Morris <rgm@gnu.org>
19251 * term/xterm.el (xterm--query):
19252 Stop after first matching handler. (Bug#14615)
19254 2013-06-14 Ivan Kanis <ivan@kanis.fr>
19256 Add support for dired in saveplace.
19257 * dired.el (dired-initial-position-hook): New variable.
19258 (dired-initial-position): Call hook to place cursor position.
19259 * saveplace.el (save-place-to-alist): Add dired position.
19260 (save-place-dired-hook): New function.
19262 2013-06-14 Stefan Monnier <monnier@iro.umontreal.ca>
19264 * subr.el (eval-after-load, set-temporary-overlay-map): Use indirection
19265 through a symbol rather than letrec.
19267 * emacs-lisp/package.el: Don't recompute dir. Use pkg-descs more.
19268 (package-desc): Add `dir' field.
19269 (package-desc-full-name): New function.
19270 (package-load-descriptor): Combine the two arguments. Don't use `load'.
19271 (package-maybe-load-descriptor): Remove.
19272 (package-load-all-descriptors): Just call package-load-descriptor.
19273 (package--disabled-p): New function.
19274 (package-desc-vers, package-desc-doc): Remove aliases.
19275 (package--dir): Remove function.
19276 (package-activate): Check if a package is disabled.
19277 (package-process-define-package): New function, extracted from
19279 (define-package): Turn into a place holder.
19280 (package-unpack-single, package-tar-file-info):
19281 Use package--description-file.
19282 (package-compute-transaction): Use package--disabled-p.
19283 (package-download-transaction): Don't call
19284 package-maybe-load-descriptor since they're all loaded anyway.
19285 (package-install): Change argument to be a pkg-desc.
19286 (package-delete): Use a single pkg-desc argument.
19287 (describe-package-1): Use package-desc-dir instead of package--dir.
19288 Use package-desc property instead of package-symbol.
19289 (package-install-button-action): Adjust accordingly.
19290 (package--push): Rewrite.
19291 (package-menu--print-info): Adjust accordingly. Change the ID format
19293 (package-menu-describe-package, package-menu-get-status)
19294 (package-menu--find-upgrades, package-menu-mark-upgrades)
19295 (package-menu-execute, package-menu--name-predicate):
19296 Adjust accordingly.
19297 * startup.el (package--description-file): New function.
19298 (command-line): Use it.
19299 * emacs-lisp/package-x.el (package-upload-buffer-internal):
19300 Use package-desc-version.
19302 * emacs-lisp/bytecomp.el (byte-compile-force-lexical-warnings): New var.
19303 (byte-compile-preprocess): Use it.
19304 (byte-compile-file-form-defalias): Try a bit harder to use macros we
19305 can't quite recognize.
19306 (byte-compile-add-to-list): Remove.
19307 * emacs-lisp/cconv.el (cconv-warnings-only): New function.
19308 (cconv-closure-convert): Add assertion.
19310 * emacs-lisp/map-ynp.el: Use lexical-binding.
19311 (map-y-or-n-p): Remove unused vars `tail' and `object'.
19312 Factor out some repeated code.
19314 2013-06-13 Stefan Monnier <monnier@iro.umontreal.ca>
19316 * subr.el (with-eval-after-load): New macro.
19317 (eval-after-load): Allow form to be a function.
19318 take advantage of lexical-binding.
19319 (do-after-load-evaluation): Use dolist and adjust to new format.
19320 * simple.el (bad-packages-alist): Use dolist and with-eval-after-load.
19322 2013-06-13 Juri Linkov <juri@jurta.org>
19324 * replace.el (perform-replace): Display "symbol " and other search
19325 modes from `isearch-message-prefix' in the *Help* buffer.
19327 * isearch.el (isearch-query-replace): Add " symbol" and other
19328 possible search modes from `isearch-message-prefix' to the prompt.
19329 (isearch-occur): Use `with-isearch-suspended' to not exit Isearch
19330 when reading a regexp to collect.
19332 2013-06-13 Juri Linkov <juri@jurta.org>
19334 * isearch.el (word-search-regexp): Match whitespace if the search
19335 string begins or ends in whitespace. The LAX arg is applied to
19336 both ends of the search string. Use `regexp-quote' and explicit
19337 \< and \> instead of \b. Use \` and \' instead of ^ and $.
19338 (isearch-symbol-regexp): Sync with `word-search-regexp' where word
19339 boundaries are replaced with symbol boundaries, and characters
19340 between symbols match non-word non-symbol syntax. (Bug#14602)
19342 2013-06-13 Juri Linkov <juri@jurta.org>
19344 * isearch.el (isearch-del-char): Don't exceed the length of
19345 `isearch-string' by the prefix arg. (Bug#14563)
19347 2013-06-13 Juri Linkov <juri@jurta.org>
19349 * isearch.el (isearch-yank-word, isearch-yank-line)
19350 (isearch-char-by-name, isearch-quote-char)
19351 (isearch-printing-char, isearch-process-search-char):
19352 Add optional count prefix arg. (Bug#14563)
19354 * international/isearch-x.el
19355 (isearch-process-search-multibyte-characters):
19356 Add optional count prefix arg.
19358 2013-06-13 Stefan Monnier <monnier@iro.umontreal.ca>
19360 * subr.el (internal-push-keymap, internal-pop-keymap): New functions.
19361 (set-temporary-overlay-map): Use them (bug#14095); and take advantage of
19364 2013-06-13 Vitalie Spinu <spinuvit@gmail.com>
19366 * subr.el (set-temporary-overlay-map): Add on-exit argument.
19368 2013-06-13 Glenn Morris <rgm@gnu.org>
19370 * startup.el (tty-handle-args):
19371 Don't just discard "--" and anything after. (Bug#14608)
19373 * emacs-lisp/lisp.el (forward-sexp, backward-sexp): Doc fixes.
19375 2013-06-13 Michael Albinus <michael.albinus@gmx.de>
19377 Implement changes in Secret Service API. Make it backward compatible.
19378 * net/secrets.el (secrets-struct-secret-content-type): New defonst.
19379 (secrets-create-item): Use it. Prefix properties with interface.
19381 2013-06-13 Michael Hoffman <9qobl2n02@sneakemail.com> (tiny change)
19383 * term.el (term-suppress-hard-newline): New option. (Bug#12017)
19384 (term-emulate-terminal): Respect term-suppress-hard-newline.
19386 2013-06-13 E Sabof <esabof@gmail.com> (tiny change)
19388 * image-dired.el (image-dired-dired-toggle-marked-thumbs):
19389 Only remove a `thumb-file' overlay. (Bug#14548)
19391 2013-06-12 Grégoire Jadi <daimrod@gmail.com>
19393 * mail/reporter.el (reporter-submit-bug-report):
19394 Handle missing package-name. (Bug#14600)
19396 2013-06-12 Rüdiger Sonderfeld <ruediger@c-plusplus.de>
19398 * textmodes/reftex-cite.el (reftex-cite-regexp-hist)
19399 (reftex-citation-prompt, reftex-default-bibliography)
19400 (reftex-bib-or-thebib, reftex-get-bibfile-list)
19401 (reftex-pop-to-bibtex-entry, reftex-extract-bib-entries)
19402 (reftex-bib-sort-author, reftex-bib-sort-year)
19403 (reftex-bib-sort-year-reverse, reftex-get-crossref-alist)
19404 (reftex-extract-bib-entries-from-thebibliography)
19405 (reftex-get-bibkey-default, reftex-get-bib-names)
19406 (reftex-parse-bibtex-entry, reftex-get-bib-field)
19407 (reftex-format-bib-entry, reftex-parse-bibitem)
19408 (reftex-format-bibitem, reftex-do-citation)
19409 (reftex-figure-out-cite-format, reftex-offer-bib-menu)
19410 (reftex-restrict-bib-matches, reftex-extract-bib-file)
19411 (reftex-insert-bib-matches, reftex-format-citation)
19412 (reftex-make-cite-echo-string, reftex-bibtex-selection-callback)
19413 (reftex-create-bibtex-file): Add docstrings, mostly by converting
19414 existing comments into docstrings.
19416 2013-06-12 Xue Fuqiao <xfq.free@gmail.com>
19418 * ibuf-ext.el (ibuffer-mark-help-buffers): Doc fix.
19420 2013-06-12 Andreas Schwab <schwab@suse.de>
19422 * international/mule.el (auto-coding-alist): Use utf-8-emacs-unix
19423 for auto-save files.
19425 2013-06-12 Glenn Morris <rgm@gnu.org>
19427 * ido.el (ido-delete-ignored-files): Remove.
19428 (ido-wide-find-dirs-or-files, ido-make-file-list-1):
19429 Go back to calling ido-ignore-item-p directly.
19431 2013-06-12 Eyal Lotem <eyal.lotem@gmail.com> (tiny change)
19433 * ido.el (ido-wide-find-dirs-or-files): Respect ido-case-fold.
19435 * ido.el (ido-delete-ignored-files): New function,
19436 split from ido-make-file-list-1.
19437 (ido-wide-find-dirs-or-files): Maybe ignore files. (Bug#13003)
19438 (ido-make-file-list-1): Use ido-delete-ignored-files.
19440 2013-06-12 Leo Liu <sdl.web@gmail.com>
19442 * progmodes/octave.el (inferior-octave-startup)
19443 (inferior-octave-completion-table)
19444 (inferior-octave-track-window-width-change)
19445 (octave-eldoc-function-signatures, octave-help)
19446 (octave-find-definition): Use single quoted strings.
19447 (inferior-octave-startup-args): Change default value.
19448 (inferior-octave-startup): Do not hard code "-i" and
19449 "--no-line-editing".
19450 (inferior-octave-resync-dirs): Add optional arg NOERROR.
19451 (inferior-octave-directory-tracker): Use it.
19452 (octave-goto-function-definition): Robustify.
19453 (octave-help): Support highlighting operators in 'See also'.
19454 (octave-find-definition): Find subfunctions only in Octave mode.
19456 2013-06-12 Stefan Monnier <monnier@iro.umontreal.ca>
19458 * help-fns.el (help-fns--compiler-macro): If the handler function is
19459 named, then put a link to it.
19460 * help-mode.el (help-function-cmacro): Adjust regexp for cl-lib names.
19461 * emacs-lisp/cl-macs.el (cl--compiler-macro-typep): New function.
19462 (cl-typep): Use it.
19463 (cl-eval-when): Simplify debug spec.
19464 (cl-define-compiler-macro): Use eval-and-compile. Give a name to the
19465 compiler-macro function instead of setting `compiler-macro-file'.
19467 2013-06-12 Xue Fuqiao <xfq.free@gmail.com>
19469 * vc/vc-cvs.el (vc-cvs-stay-local): Doc fix.
19470 * vc/vc-hooks.el (vc-stay-local): Doc fix.
19472 2013-06-12 Stefan Monnier <monnier@iro.umontreal.ca>
19473 Daniel Hackney <dan@haxney.org>
19475 First part of Daniel Hackney's patch to package.el.
19476 * emacs-lisp/package.el: Use defstruct.
19477 (package-desc): New, main struct.
19478 (package--bi-desc, package--ac-desc): New structs, used to describe the
19479 format in external files.
19480 (package-desc-vers): Replace with package-desc-version accessor.
19481 (package-desc-doc): Replace with package-desc-summary accessor.
19482 (package-activate-1): Remove `package' arg since the pkg-vec now
19484 (define-package): Use package-desc-from-define.
19485 (package-unpack-single): Change file-name arg to be a symbol.
19486 (package--add-to-archive-contents): Use package-desc-create and new
19487 accessor functions to package--ac-desc.
19488 (package-buffer-info, package-tar-file-info): Return a package-desc.
19489 (package-install-from-buffer): Remove `type' argument. Change pkg-info
19490 arg to be a package-desc.
19491 (package-install-file): Adjust accordingly. Use \' to match EOS.
19492 (package--from-builtin): New function.
19493 (describe-package-1, package-menu--generate): Use it.
19494 (package--make-autoloads-and-compile): Change name arg to be a symbol.
19495 (package-generate-autoloads): Idem and return the name of the file.
19496 * emacs-lisp/package-x.el (package-upload-buffer-internal):
19497 Change pkg-info arg to be a package-desc.
19498 Use package-make-ac-desc.
19499 (package-upload-file): Use \' to match EOS.
19500 * finder.el (finder-compile-keywords): Use package-make-builtin.
19502 2013-06-11 Stefan Monnier <monnier@iro.umontreal.ca>
19504 * vc/vc.el (vc-deduce-fileset): Change error message.
19505 (vc-read-backend): New function.
19506 (vc-next-action): Use it.
19508 * subr.el (function-arity): Remove (mistakenly added) (bug#14590).
19510 * progmodes/prolog.el (prolog-make-keywords-regexp): Remove.
19511 (prolog-font-lock-keywords): Use regexp-opt instead.
19512 Don't manually highlight strings.
19513 (prolog-mode-variables): Simplify comment-start-skip.
19514 (prolog-consult-compile): Use display-buffer. Remove unused old-filter.
19516 * emacs-lisp/generic.el (generic--normalise-comments)
19517 (generic-set-comment-syntax, generic-set-comment-vars): New functions.
19518 (generic-mode-set-comments): Use them.
19519 (generic-bracket-support): Use setq-local.
19520 (generic-make-keywords-list): Declare obsolete.
19522 2013-06-11 Glenn Morris <rgm@gnu.org>
19524 * emacs-lisp/lisp-mode.el (lisp-mode-variables):
19525 Prettify after setting font-lock-defaults. (Bug#14574)
19527 2013-06-11 Juanma Barranquero <lekktu@gmail.com>
19529 * replace.el (query-replace, occur-read-regexp-defaults-function)
19531 * subr.el (declare-function, number-sequence, local-set-key)
19532 (substitute-key-definition, locate-user-emacs-file)
19533 (with-silent-modifications, split-string, eval-after-load):
19534 Fix typos, remove unneeded backslashes and reflow some docstrings.
19536 2013-06-11 Stefan Monnier <monnier@iro.umontreal.ca>
19538 * international/mule-conf.el (file-coding-system-alist): Use utf-8 as
19539 default for Elisp files.
19541 2013-06-11 Glenn Morris <rgm@gnu.org>
19543 * vc/log-view.el (log-view-mode-map): Inherit from special-mode-map,
19544 although define-derived-mode was doing this anyway. (Bug#14583)
19546 2013-06-10 Juanma Barranquero <lekktu@gmail.com>
19548 * allout.el (allout-encryption-plaintext-sanitization-regexps):
19549 Fix make-variable-buffer-local call to refer to the correct variable.
19551 2013-06-10 Aidan Gauland <aidalgol@amuri.net>
19553 * eshell/em-term.el (eshell-visual-commands)
19554 (eshell-visual-subcommands, eshell-visual-options):
19555 Add summary line to docstrings. Add cross-references.
19557 2013-06-10 Glenn Morris <rgm@gnu.org>
19559 * epa.el (epa-read-file-name): New function. (Bug#14510)
19560 (epa-decrypt-file): Make plain-file optional. Use epa-read-file-name.
19562 2013-06-09 Aidan Gauland <aidalgol@amuri.net>
19564 * eshell/em-term.el (eshell-visual-command-p): Fix bug that caused
19565 output redirection to be ignored with visual commands.
19567 2013-06-09 Aidan Gauland <aidalgol@amuri.net>
19569 * eshell/em-term.el (eshell-visual-command-p): New function.
19570 (eshell-term-initialize): Move long lambda to separate function
19571 eshell-visual-command-p.
19572 * eshell/em-dirs.el (eshell-dirs-initialize):
19573 * eshell/em-script.el (eshell-script-initialize):
19574 Add missing #' to lambda.
19576 2013-06-08 Leo Liu <sdl.web@gmail.com>
19578 * progmodes/octave.el (octave-add-log-current-defun): New function.
19579 (octave-mode): Set add-log-current-defun-function.
19580 (octave-goto-function-definition): Do not move point if not found.
19581 (octave-find-definition): Enhance to try subfunctions first.
19583 2013-06-08 Glenn Morris <rgm@gnu.org>
19585 * emacs-lisp/bytecomp.el (byte-compile-char-before)
19586 (byte-compile-backward-char, byte-compile-backward-word):
19587 Improve previous change, to handle non-explicit nil.
19589 2013-06-07 Stefan Monnier <monnier@iro.umontreal.ca>
19591 * emacs-lisp/smie.el: Improve show-paren-mode behavior.
19592 (smie--opener/closer-at-point): New function.
19593 (smie--matching-block-data): Use it. Don't match from right after an
19594 opener or right before a closer. Obey smie-blink-matching-inners.
19595 Don't signal a mismatch for repeated inners like "switch..case..case".
19597 2013-06-07 Leo Liu <sdl.web@gmail.com>
19599 * progmodes/octave.el (octave-mode): Set comment-use-global-state
19601 (octave-function-header-regexp): Fix. (Bug#14570)
19602 (octave-help-mode-finish-hook, octave-help-mode-finish):
19603 Remove. Just use temp-buffer-show-hook.
19605 * newcomment.el (comment-search-backward): Revert last change.
19608 * emacs-lisp/smie.el (smie--matching-block-data): Minor simplification.
19610 2013-06-07 Eli Zaretskii <eliz@gnu.org>
19612 * Makefile.in (TAGS TAGS-LISP): Pass the (long) list of *.el files
19613 through xargs, to avoid failure due to MS-Windows limitations on
19614 command-line length.
19616 2013-06-06 Glenn Morris <rgm@gnu.org>
19618 * font-lock.el (lisp-font-lock-keywords-2):
19619 Treat user-error like error.
19621 * emacs-lisp/bytecomp.el (byte-compile-char-before)
19622 (byte-compile-backward-char, byte-compile-backward-word):
19623 Handle explicit nil arguments. (Bug#14565)
19625 2013-06-05 Alan Mackenzie <acm@muc.de>
19627 * isearch.el (isearch-allow-prefix): New user option.
19628 (isearch-other-meta-char): Don't exit isearch when a prefix
19629 argument is typed whilst `isearch-allow-prefix' is non-nil.
19632 2013-06-05 Stefan Monnier <monnier@iro.umontreal.ca>
19634 * autorevert.el (auto-revert-notify-handler): Use memq.
19635 Hide assertion failure.
19637 * skeleton.el: Use cl-lib.
19638 (skeleton-further-elements): Use defvar-local.
19639 (skeleton-insert): Use cl-progv.
19641 2013-06-05 Teodor Zlatanov <tzz@lifelogs.com>
19643 * progmodes/prog-mode.el (prog-prettify-symbols)
19644 (prog-prettify-install): Update docstrings.
19646 2013-06-05 Stefan Monnier <monnier@iro.umontreal.ca>
19648 * simple.el: Move all the prog-mode code to prog-mode.el.
19649 * progmodes/prog-mode.el: New file.
19650 * loadup.el: Add prog-mode.el.
19652 2013-06-05 Teodor Zlatanov <tzz@lifelogs.com>
19654 * simple.el (prog-prettify-symbols): Add version.
19655 (prog-prettify-install): Add convenience function to prettify symbols.
19657 * progmodes/perl-mode.el (perl--augmented-font-lock-keywords)
19658 (perl--augmented-font-lock-keywords-1)
19659 (perl--augmented-font-lock-keywords-2, perl-mode): Remove unneeded
19660 variables and use it.
19662 * progmodes/cfengine.el (cfengine3--augmented-font-lock-keywords)
19663 (cfengine3-mode): Remove unneeded variable and use it.
19665 * emacs-lisp/lisp-mode.el (lisp--augmented-font-lock-keywords)
19666 (lisp--augmented-font-lock-keywords-1)
19667 (lisp--augmented-font-lock-keywords-2, lisp-mode-variables):
19668 Remove unneeded variables and use it.
19670 2013-06-05 João Távora <joaotavora@gmail.com>
19672 * net/tls.el (open-tls-stream): Remove unneeded buffer contents up
19673 to point when opening the connection. (Bug#14380)
19675 2013-06-05 Stefan Monnier <monnier@iro.umontreal.ca>
19677 * subr.el (load-history-regexp, load-history-filename-element)
19678 (eval-after-load, after-load-functions, do-after-load-evaluation)
19679 (eval-next-after-load, display-delayed-warnings)
19680 (collapse-delayed-warnings, delayed-warnings-hook): Move after the
19681 definition of save-match-data.
19682 (overriding-local-map): Remove accidental obsolescence declaration.
19684 * emacs-lisp/edebug.el (edebug-result): Move before first use.
19686 2013-06-05 Teodor Zlatanov <tzz@lifelogs.com>
19688 Generalize symbol prettify support to prog-mode and implement it
19689 for perl-mode, cfengine3-mode, and emacs-lisp-mode.
19690 * simple.el (prog-prettify-symbols-alist, prog-prettify-symbols)
19691 (prog--prettify-font-lock-compose-symbol)
19692 (prog-prettify-font-lock-symbols-keywords): New variables and
19693 functions to support symbol prettification.
19694 * emacs-lisp/lisp-mode.el (lisp--augmented-font-lock-keywords)
19695 (lisp--augmented-font-lock-keywords-1)
19696 (lisp--augmented-font-lock-keywords-2, lisp-mode-variables)
19697 (lisp--prettify-symbols-alist): Implement prettify of lambda.
19698 * progmodes/cfengine.el (cfengine3--augmented-font-lock-keywords)
19699 (cfengine3--prettify-symbols-alist, cfengine3-mode):
19700 Implement prettify of -> => :: strings.
19701 * progmodes/perl-mode.el (perl-prettify-symbols)
19702 (perl--font-lock-compose-symbol)
19703 (perl--font-lock-symbols-keywords): Move to prog-mode.
19704 (perl--prettify-symbols-alist): Prettify -> => :: strings.
19705 (perl-font-lock-keywords-1)
19706 (perl-font-lock-keywords-2): Remove explicit prettify support.
19707 (perl--augmented-font-lock-keywords)
19708 (perl--augmented-font-lock-keywords-1)
19709 (perl--augmented-font-lock-keywords-2, perl-mode):
19710 Implement prettify support.
19712 2013-06-05 Leo Liu <sdl.web@gmail.com>
19714 Re-implement SMIE matching block highlight using
19715 show-paren-data-function. (Bug#14395)
19716 * emacs-lisp/smie.el (smie-matching-block-highlight)
19717 (smie--highlight-matching-block-overlay)
19718 (smie--highlight-matching-block-lastpos)
19719 (smie-highlight-matching-block)
19720 (smie-highlight-matching-block-mode): Remove.
19721 (smie--matching-block-data-cache): New variable.
19722 (smie--matching-block-data): New function.
19723 (smie-setup): Use smie--matching-block-data for
19724 show-paren-data-function.
19726 * progmodes/octave.el (octave-mode-menu): Fix.
19727 (octave-find-definition): Skip garbage lines.
19729 2013-06-05 Stefan Monnier <monnier@iro.umontreal.ca>
19731 Fix compilation error with simultaneous dynamic+lexical scoping.
19732 Add warning when a defvar appears after the first let-binding.
19733 * emacs-lisp/bytecomp.el (byte-compile-lexical-variables): New var.
19734 (byte-compile-close-variables): Initialize it.
19735 (byte-compile--declare-var): New function.
19736 (byte-compile-file-form-defvar)
19737 (byte-compile-file-form-define-abbrev-table)
19738 (byte-compile-file-form-custom-declare-variable): Use it.
19739 (byte-compile-make-lambda-lexenv): Change the argument. Simplify.
19740 (byte-compile-lambda): Share call to byte-compile-arglist-vars.
19741 (byte-compile-bind): Handle dynamic bindings that shadow
19743 (byte-compile-unbind): Make arg non-optional.
19744 (byte-compile-let): Simplify.
19745 * emacs-lisp/cconv.el (byte-compile-lexical-variables): Declare var.
19746 (cconv--analyse-function, cconv-analyse-form): Populate it.
19747 Protect byte-compile-bound-variables to limit the scope of defvars.
19748 (cconv-analyse-form): Add missing rule for (defvar <foo>).
19749 Remove unneeded rule for `declare'.
19751 * emacs-lisp/cl-macs.el (cl--compiler-macro-adjoin): Use macroexp-let2
19752 so as to avoid depending on cl-adjoin at run-time.
19753 * emacs-lisp/cl-lib.el (cl-pushnew): Use backquotes.
19755 * emacs-lisp/macroexp.el (macroexp--compiling-p): New function.
19756 (macroexp--warn-and-return): Use it.
19758 2013-06-05 Stefan Monnier <monnier@iro.umontreal.ca>
19760 * subr.el: Convert to lexical binding.
19761 (overriding-local-map): Make obsolete.
19762 (add-to-list): Doc fix. Add compiler macro.
19763 (read-key): Swap values of local maps.
19765 2013-06-05 Leo Liu <sdl.web@gmail.com>
19767 * eshell/esh-mode.el (eshell-mode): Fix key bindings.
19769 2013-06-04 Leo Liu <sdl.web@gmail.com>
19771 * progmodes/compile.el (compile-goto-error): Add optional arg NOMSG.
19772 (compilation-auto-jump): Suppress the "Mark set" message to give
19773 way to exit message.
19775 2013-06-04 Alan Mackenzie <acm@muc.de>
19777 Remove faulty optimization from indentation calculation.
19778 * progmodes/cc-engine.el (c-guess-basic-syntax): Don't calculate
19779 search limit based on 2000 characters back from indent-point.
19781 2013-06-03 Tassilo Horn <tsdh@gnu.org>
19783 * eshell/em-term.el (cl-lib): Require `cl-lib'.
19785 2013-06-03 Stefan Monnier <monnier@iro.umontreal.ca>
19787 * emacs-lisp/lisp.el: Use lexical-binding.
19788 (lisp--local-variables-1, lisp--local-variables): New functions.
19789 (lisp--local-variables-completion-table): New var.
19790 (lisp-completion-at-point): Use it complete let-bound vars.
19792 * emacs-lisp/lisp-mode.el (eval-sexp-add-defvars): Expand macros
19793 eagerly (bug#14422).
19795 2013-06-03 Michael Albinus <michael.albinus@gmx.de>
19797 * autorevert.el (auto-revert-notify-enabled)
19798 (auto-revert-notify-rm-watch, auto-revert-notify-add-watch)
19799 (auto-revert-notify-event-p, auto-revert-notify-event-file-name)
19800 (auto-revert-notify-handler): Handle also gfilenotify.
19802 * subr.el (file-notify-handle-event): New defun. Replacing ...
19803 (inotify-event-p, inotify-handle-event, w32notify-handle-event):
19806 2013-06-03 Juri Linkov <juri@jurta.org>
19808 * bindings.el (search-map): Bind `highlight-symbol-at-point' to
19809 `M-s h .'. (Bug#14427)
19811 * hi-lock.el (highlight-symbol-at-point): New alias for the new
19812 command `hi-lock-face-symbol-at-point'.
19813 (hi-lock-face-symbol-at-point): New command.
19814 (hi-lock-map): Bind `highlight-symbol-at-point' to `C-x w .'.
19815 (hi-lock-menu): Add `highlight-symbol-at-point'.
19816 (hi-lock-mode): Doc fix.
19818 * isearch.el (isearch-forward-symbol-at-point): New command.
19819 (search-map): Bind `isearch-forward-symbol-at-point' to `M-s .'.
19820 (isearch-highlight-regexp): Add a regexp which matches
19821 words/symbols for word/symbol mode.
19823 * subr.el (find-tag-default-bounds): New function with the body
19824 mostly moved from `find-tag-default'.
19825 (find-tag-default): Move most code to `find-tag-default-bounds',
19826 call it and apply `buffer-substring-no-properties' afterwards.
19828 2013-06-03 Tassilo Horn <tsdh@gnu.org>
19830 * eshell/em-term.el (eshell-term-initialize):
19831 Use `cl-intersection' rather than `intersection'.
19833 2013-06-02 Xue Fuqiao <xfq.free@gmail.com>
19835 * vc/log-view.el: Doc fix.
19836 (log-view-mode-map): Copy keymap from `special-mode-map'.
19838 2013-06-02 Eric Ludlam <zappo@gnu.org>
19840 * emacs-lisp/eieio.el (eieio--defalias, eieio-hook)
19841 (eieio-error-unsupported-class-tags, eieio-skip-typecheck)
19842 (eieio-optimize-primary-methods-flag, eieio-initializing-object)
19843 (eieio-unbound, eieio-default-superclass)
19844 (eieio--define-field-accessors, method-static, method-before)
19845 (method-primary, method-after, method-num-lists)
19846 (method-generic-before, method-generic-primary)
19847 (method-generic-after, method-num-slots)
19848 (eieio-specialized-key-to-generic-key)
19849 (eieio--check-type, class-v, class-p)
19850 (eieio-class-name, define-obsolete-function-alias)
19851 (eieio-class-parents-fast, eieio-class-children-fast)
19852 (same-class-fast-p, class-constructor, generic-p)
19853 (generic-primary-only-p, generic-primary-only-one-p)
19854 (class-option-assoc, class-option, eieio-object-p)
19855 (class-abstract-p, class-method-invocation-order)
19856 (eieio-defclass-autoload-map, eieio-defclass-autoload)
19857 (eieio-class-un-autoload, eieio-defclass)
19858 (eieio-eval-default-p, eieio-perform-slot-validation-for-default)
19859 (eieio-add-new-slot, eieio-copy-parents-into-subclass)
19860 (eieio--defgeneric-init-form, eieio-defgeneric-form)
19861 (eieio-defgeneric-reset-generic-form)
19862 (eieio-defgeneric-form-primary-only)
19863 (eieio-defgeneric-reset-generic-form-primary-only)
19864 (eieio-defgeneric-form-primary-only-one)
19865 (eieio-defgeneric-reset-generic-form-primary-only-one)
19866 (eieio-unbind-method-implementations)
19867 (eieio--defmethod, eieio--typep)
19868 (eieio-perform-slot-validation, eieio-validate-slot-value)
19869 (eieio-validate-class-slot-value, eieio-barf-if-slot-unbound)
19870 (eieio-oref, eieio-oref-default, eieio-default-eval-maybe)
19871 (eieio-oset, eieio-oset-default, eieio-slot-originating-class-p)
19872 (eieio-slot-name-index, eieio-class-slot-name-index)
19873 (eieio-set-defaults, eieio-initarg-to-attribute)
19874 (eieio-attribute-to-initarg, eieio-c3-candidate)
19875 (eieio-c3-merge-lists, eieio-class-precedence-c3)
19876 (eieio-class-precedence-dfs, eieio-class-precedence-bfs)
19877 (eieio-class-precedence-list, eieio-generic-call-methodname)
19878 (eieio-generic-call-arglst, eieio-generic-call-key)
19879 (eieio-generic-call-next-method-list)
19880 (eieio-pre-method-execution-functions, eieio-generic-call)
19881 (eieio-generic-call-primary-only, eieiomt-method-list)
19882 (eieiomt-optimizing-obarray, eieiomt-install)
19883 (eieiomt-add, eieiomt-next, eieiomt-sym-optimize)
19884 (eieio-generic-form, eieio-defmethod, make-obsolete)
19885 (eieio-defgeneric, make-obsolete): Move to eieio-core.el.
19886 (defclass): Remove `eval-and-compile' from macro.
19887 (call-next-method, shared-initialize): Instead of using
19888 `scoped-class' variable, use new eieio--scoped-class, and
19889 eieio--with-scoped-class.
19890 (initialize-instance): Rename local variable 'scoped-class' to
19891 'this-class' to remove ambiguitity from old global.
19893 * emacs-lisp/eieio-core.el: New file. Derived from key parts of
19895 (eieio--scoped-class-stack): New variable.
19896 (eieio--scoped-class): New fcn.
19897 (eieio--with-scoped-class): New scoping macro.
19898 (eieio-defclass): Use pushnew instead of add-to-list.
19899 (eieio-defgeneric-form-primary-only-one, eieio-oset-default)
19900 (eieio-slot-name-index, eieio-set-defaults, eieio-generic-call)
19901 (eieio-generic-call-primary-only, eieiomt-add): Instead of using
19902 `scoped-class' variable, use new eieio--scoped-class, and
19903 eieio--with-scoped-class.
19905 * emacs-lisp/eieio-base.el (cl-lib): Require during compile.
19907 2013-06-02 Tassilo Horn <tsdh@gnu.org>
19909 * eshell/esh-ext.el (eshell-external-command): Pass args to
19910 `eshell-find-interpreter'.
19911 (eshell-find-interpreter): Add new second parameter ARGS.
19913 * eshell/em-script.el (eshell-script-initialize): Add second arg
19914 to the function added as MATCH to `eshell-interpreter-alist'.
19916 * eshell/em-dirs.el (eshell-dirs-initialize): Add second arg to
19917 the function added as MATCH to `eshell-interpreter-alist'.
19919 * eshell/em-term.el (eshell-visual-subcommands): New defcustom.
19920 (eshell-visual-options): New defcustom.
19921 (eshell-escape-control-x): Adapt docstring.
19922 (eshell-term-initialize): Test `eshell-visual-subcommands' and
19923 `eshell-visual-options' in addition to `eshell-visual-commands'.
19924 (eshell-exec-visual): Pass args to `eshell-find-interpreter'.
19926 2013-06-01 Fabián Ezequiel Gallina <fgallina@gnu.org>
19928 * progmodes/python.el (python-indent-block-enders): Add break,
19929 continue and raise keywords.
19931 2013-06-01 Glenn Morris <rgm@gnu.org>
19933 * pcmpl-gnu.el (pcomplete/tar): Check obsolete variable is bound.
19935 Plain (f)boundp silences compilation warnings since Emacs 22.1.
19936 * progmodes/cc-cmds.el (delete-forward-p):
19937 * progmodes/cc-defs.el (buffer-syntactic-context-depth):
19938 * progmodes/cc-engine.el (buffer-syntactic-context):
19939 * progmodes/cc-fonts.el (face-property-instance):
19940 * progmodes/cc-mode.el (set-keymap-parents):
19941 * progmodes/cc-vars.el (get-char-table): No need for cc-bytecomp-defun.
19942 * progmodes/cc-defs.el (c-set-region-active, c-beginning-of-defun-1)
19943 * progmodes/cc-mode.el (c-make-inherited-keymap): Use plain fboundp.
19944 * progmodes/cc-defs.el (zmacs-region-stays, zmacs-regions)
19945 (lookup-syntax-properties): Remove unecessary cc-bytecomp-defvar.
19947 * progmodes/cc-vars.el (other): Emacs has this widget since
19948 at least 21.1, so don't (re)define it.
19950 * eshell/em-cmpl.el (eshell-cmpl-initialize):
19951 Replace the obsolete alias pcomplete-arg-quote-list.
19953 2013-06-01 Leo Liu <sdl.web@gmail.com>
19955 * progmodes/octave.el (octave-mode-syntax-table): Give `.'
19956 punctuation syntax.
19957 (inferior-octave-minimal-columns)
19958 (inferior-octave-last-column-width): New variables.
19959 (inferior-octave-track-window-width-change): New function.
19960 (inferior-octave-mode): Adjust column width so that Octave output,
19961 for example from 'ls', can fit into the window nicely.
19963 2013-05-31 Dmitry Gutov <dgutov@yandex.ru>
19965 * progmodes/ruby-mode.el (ruby-syntax-expansion-allowed-p):
19966 Highlight expansions inside regexp literals.
19968 2013-05-31 Glenn Morris <rgm@gnu.org>
19970 * obsolete/sym-comp.el (symbol-complete):
19971 Replace obsolete completion-annotate-function.
19973 * progmodes/cc-vars.el (c-make-macro-with-semi-re): Silence compiler.
19975 2013-05-31 Dmitry Gutov <dgutov@yandex.ru>
19977 * progmodes/ruby-mode.el (ruby-syntax-expansion-allowed-p):
19978 New function, checks if point is inside a literal that allows
19979 expression expansion.
19980 (ruby-syntax-propertize-expansion): Use it.
19981 (ruby-syntax-propertize-function): Bind `case-fold-search' to nil
19984 2013-05-30 Juri Linkov <juri@jurta.org>
19986 * isearch.el (isearch-mode-map): Bind `isearch-toggle-invisible'
19988 (isearch-invisible): New variable.
19989 (isearch-forward): Doc fix.
19990 (isearch-mode): Set `isearch-invisible'
19991 to the value of `search-invisible'.
19992 (isearch-toggle-case-fold): Doc fix.
19993 (isearch-toggle-invisible): New command.
19994 (isearch-query-replace): Let-bind `search-invisible'
19995 to the value of `isearch-invisible'.
19996 (isearch-search): Use `isearch-invisible' instead of
19997 `search-invisible'. Let-bind `search-invisible'
19998 to the value of `isearch-invisible'. (Bug#11378)
20000 2013-05-30 Juri Linkov <juri@jurta.org>
20002 * replace.el (perform-replace): Avoid `isearch-range-invisible'
20003 call when `query-flag' is nil and `search-invisible' is non-nil.
20006 2013-05-30 Glenn Morris <rgm@gnu.org>
20008 * progmodes/gdb-mi.el (gdb-wait-for-pending): Fix typo.
20010 * progmodes/cc-bytecomp.el (cc-bytecomp-noruntime-functions): New.
20011 (cc-require): Suppress spurious "noruntime" warnings.
20012 (cc-require-when-compile): Use fboundp, for sake of compiler.
20014 * progmodes/cc-mode.el: Move load of cc-vars before that of
20015 cc-langs (which in turn loads cc-vars), to quieten compiler.
20017 2013-05-30 Stefan Monnier <monnier@iro.umontreal.ca>
20019 * paren.el: Simplify the code.
20020 (show-paren-mode): Always start the timer.
20021 (show-paren--idle-timer): Rename from show-paren-idle-timer.
20022 (show-paren--overlay, show-paren--overlay-1): Rename from
20023 show-paren-overlay and show-paren-overlay-1, and initialize to an
20024 overlay rather than to nil.
20025 (show-paren-function): Misc cleanup and simplifications.
20027 2013-05-30 Stefan Monnier <monnier@iro.umontreal.ca>
20029 * paren.el (show-paren-data-function): New hook.
20030 (show-paren--default): New function, extracted from show-paren-function.
20031 (show-paren-function): Use show-paren-data-function.
20033 2013-05-30 Glenn Morris <rgm@gnu.org>
20035 * ielm.el (ielm-map, ielm-complete-symbol):
20036 Use completion-at-point rather than obsolete functions.
20037 (inferior-emacs-lisp-mode): Doc fix.
20038 Set completion-at-point-functions, rather than
20039 comint-dynamic-complete-functions.
20041 * eshell/em-cmpl.el (eshell-complete-lisp-symbol): New function.
20042 (eshell-cmpl-initialize, eshell-complete-parse-arguments):
20043 Replace obsolete lisp-complete-symbol with eshell-complete-lisp-symbol.
20045 * image.el (image-animated-p): Tweak definition.
20047 * net/rlogin.el (rlogin-program, rlogin-explicit-args): Default to ssh.
20048 (rlogin-process-connection-type): Tweak default. Add set-after.
20049 (rlogin-host): Doc fix.
20050 (rlogin): Tweak prompt.
20051 (rlogin-tab-or-complete): Use completion-at-point rather than alias.
20053 * net/net-utils.el (nslookup-mode-map, ftp-mode-map):
20054 * progmodes/tcl.el (inferior-tcl-mode-map):
20055 Use completion-at-point rather than obsolete alias.
20057 * emacs-lisp/eieio.el (eieio-eval-default-p): Move before use.
20059 * minibuffer.el (read-file-name-completion-ignore-case):
20060 Move before completion--in-region, for eager macro expansion.
20062 2013-05-29 Juri Linkov <juri@jurta.org>
20064 * replace.el (occur-engine): Rename `globalcount' to `global-lines'
20065 for total count of matching lines. Add `global-matches' for total
20066 count of matches. Rename `matches' to `lines' for count of
20067 matching lines. Add `matches' for count of matches.
20068 Rename `lines' to `curr-line' for line count. Rename `prev-lines'
20069 to `prev-line' for line number of prev match endpt.
20070 Increment `matches' for every match. Print the number of
20071 matching lines in the header.
20072 (occur-context-lines): Rename `lines' to `curr-line'.
20073 Rename `prev-lines' to `prev-line'. (Bug#14017)
20075 2013-05-29 Juri Linkov <juri@jurta.org>
20077 * replace.el (perform-replace): Add `skip-read-only-count',
20078 `skip-filtered-count', `skip-invisible-count' let-bound to 0.
20079 Increment them for corresponding conditions and report the number
20080 of skipped occurrences in the final message. (Bug#11746)
20081 (query-replace, query-replace-regexp, query-replace-regexp-eval)
20082 (replace-string, replace-regexp): Doc fix.
20084 2013-05-29 Stefan Monnier <monnier@iro.umontreal.ca>
20086 * emacs-lisp/trace.el (trace--read-args): Provide a default.
20088 * emacs-lisp/lisp-mode.el (lisp-mode-shared-map): Inherit from
20089 prog-mode-map (bug#14504).
20091 2013-05-29 Leo Liu <sdl.web@gmail.com>
20093 * progmodes/octave.el (octave-indent-comment): Tweak regexps.
20094 (octave-help): Small simplification.
20096 * emacs-lisp/smie.el (smie-highlight-matching-block): Always turn
20097 off the highlight first.
20099 2013-05-29 Glenn Morris <rgm@gnu.org>
20101 * progmodes/idlwave.el (idlwave-concatenate-rinfo-lists):
20102 Handle idlwave-last-system-routine-info-cons-cell being nil.
20104 * progmodes/idlwave.el (idlwave-scan-user-lib-files)
20105 (idlwave-write-paths): Simplify via with-temp-buffer.
20107 * emulation/cua-gmrk.el: Also load cua-base, cua-rect at run time.
20108 * emulation/cua-rect.el: Also load cua-base at run time.
20110 * progmodes/cperl-mode.el (imenu-choose-buffer-index)
20111 (file-of-tag, etags-snarf-tag, etags-goto-tag-location): Declare.
20112 (cperl-imenu-on-info): Require imenu.
20114 2013-05-28 Alan Mackenzie <acm@muc.de>
20116 Handle "capitalised keywords" correctly.
20117 * progmodes/cc-mode.el (c-after-change): Bind case-fold-search to nil.
20119 2013-05-28 Aidan Gauland <aidalgol@amuri.net>
20121 * eshell/em-unix.el: Add -r option to cp.
20123 2013-05-28 Glenn Morris <rgm@gnu.org>
20125 * vc/vc-arch.el (vc-exec-after): Declare.
20126 (vc-switches): Autoload.
20127 * vc/vc-bzr.el: No need to require vc when compiling.
20128 (vc-exec-after, vc-set-async-update, vc-default-dir-printer)
20129 (vc-resynch-buffer, vc-dir-refresh): Declare.
20130 (vc-setup-buffer, vc-switches): Autoload.
20131 * vc/vc-cvs.el (vc-exec-after, vc-coding-system-for-diff)
20132 (vc-resynch-buffer): Declare.
20133 (vc-switches, vc-default-revert, vc-version-backup-file): Autoload.
20134 * vc/vc-dir.el (desktop-missing-file-warning): Declare.
20135 * vc/vc-git.el (vc-exec-after, vc-set-async-update)
20136 (grep-read-regexp, grep-read-files, grep-expand-template)
20137 (vc-dir-refresh): Declare.
20138 (vc-setup-buffer, vc-switches, vc-resynch-buffer): Autoload.
20139 * vc/vc-hg.el (vc-exec-after, vc-set-async-update): Declare.
20140 (vc-setup-buffer, vc-switches, vc-do-async-command): Autoload.
20141 * vc/vc-mtn.el (vc-exec-after): Declare.
20142 (vc-switches): Autoload.
20143 * vc/vc-rcs.el (vc-expand-dirs, vc-switches)
20144 (vc-tag-precondition, vc-buffer-sync, vc-rename-master): Autoload.
20145 (vc-file-tree-walk): Declare.
20146 * vc/vc-sccs.el (vc-file-tree-walk): Declare.
20147 (vc-expand-dirs, vc-switches, vc-setup-buffer, vc-delistify)
20148 (vc-tag-precondition, vc-rename-master): Autoload.
20149 * vc/vc-svn.el (vc-exec-after): Declare.
20150 (vc-switches, vc-setup-buffer): Autoload.
20151 * obsolete/vc-mcvs.el (vc-checkout, vc-switches, vc-default-revert):
20153 (vc-resynch-buffer): Declare.
20155 * obsolete/fast-lock.el (byte-compile-warnings):
20156 Don't warn about obsolete features in this obsolete file.
20158 * progmodes/cc-vars.el (c-macro-names-with-semicolon):
20159 Move definition before use.
20161 * play/dunnet.el (byte-compile-warnings): Don't disable them all.
20162 (dun-unix-verbs): Remove dun-zippy.
20163 (dun-zippy): Remove function.
20165 * emacs-lisp/bytecomp.el (byte-compile-warnings): Doc fix.
20167 2013-05-27 Juri Linkov <juri@jurta.org>
20169 * replace.el (replace-search): New function with code moved out
20170 from `perform-replace'.
20171 (replace-highlight, replace-dehighlight): Move function definitions
20172 up closer to `replace-search'. (Bug#11746)
20174 2013-05-27 Juri Linkov <juri@jurta.org>
20176 * replace.el (perform-replace): Ignore invisible matches.
20177 In addition to checking `query-replace-skip-read-only', also
20178 filter out matches by calling `run-hook-with-args-until-failure'
20179 on `isearch-filter-predicates', and also check `search-invisible'
20180 for t or call `isearch-range-invisible'.
20181 (replace-dehighlight): Call `isearch-clean-overlays'. (Bug#11746)
20183 2013-05-27 Juri Linkov <juri@jurta.org>
20185 * isearch.el (isearch-filter-predicates): Rename from
20186 `isearch-filter-predicate'. Doc fix. (Bug#11378)
20187 (isearch-message-prefix): Display text from the property
20188 `isearch-message-prefix' of the currently active filters.
20189 (isearch-search): Don't compare `isearch-filter-predicate' with
20190 `isearch-filter-visible'. Call `run-hook-with-args-until-failure'
20191 on `isearch-filter-predicates'. Also check `search-invisible' for t
20192 or call `isearch-range-invisible'.
20193 (isearch-filter-visible): Make obsolete.
20194 (isearch-lazy-highlight-search):
20195 Call `run-hook-with-args-until-failure' on
20196 `isearch-filter-predicates' and use `isearch-range-invisible'.
20198 * info.el (Info-search): Call `run-hook-with-args-until-failure' on
20199 `isearch-filter-predicates' instead of `funcall'ing
20200 `isearch-filter-predicate'.
20201 (Info-mode): Set `Info-isearch-filter' to
20202 `isearch-filter-predicates' instead of `isearch-filter-predicate'.
20204 * dired-aux.el (dired-isearch-filter-predicate-orig):
20206 (dired-isearch-filenames-toggle, dired-isearch-filenames-setup)
20207 (dired-isearch-filenames-end): Add and remove
20208 `dired-isearch-filter-filenames' in `isearch-filter-predicates'
20209 instead of changing the value of `isearch-filter-predicate'.
20210 Rebind `dired-isearch-filenames-toggle' from "\M-sf" to "\M-sff".
20211 (dired-isearch-filter-filenames): Don't use `isearch-filter-visible'.
20212 Put property `isearch-message-prefix' to "filename " on
20213 `dired-isearch-filter-filenames'.
20215 * wdired.el (wdired-change-to-wdired-mode):
20216 Add `isearch-filter-predicates' to `wdired-isearch-filter-read-only'
20217 locally instead of changing `isearch-filter-predicate'.
20218 (wdired-isearch-filter-read-only): Don't use `isearch-filter-visible'.
20220 2013-05-27 Dmitry Gutov <dgutov@yandex.ru>
20222 * vc/vc-git.el (vc-git-working-revision): When in detached mode,
20223 return the commit hash (Bug#14459). Also set the
20224 `vc-git-detached' property.
20225 (vc-git--rev-parse): Extract from `vc-git-previous-revision'.
20226 (vc-git-mode-line-string): Use the same help-echo format whether
20227 in detached mode or not, because we know the actual revision now.
20228 When in detached mode, shorten the revision to 7 chars.
20230 2013-05-27 Stefan Monnier <monnier@iro.umontreal.ca>
20232 * emacs-lisp/easy-mmode.el (define-minor-mode):
20233 * emacs-lisp/derived.el (define-derived-mode): Always defvar the
20234 mode hook and provide a docstring.
20236 2013-05-27 Alan Mackenzie <acm@muc.de>
20238 Remove spurious syntax-table text properties inserted by C-y.
20239 * progmodes/cc-mode.el (c-after-change): Also clear hard
20240 syntax-table property with value nil.
20242 2013-05-27 Michael Albinus <michael.albinus@gmx.de>
20244 * net/dbus.el (dbus-call-method): Let-bind `inhibit-redisplay'
20245 when reading the events; the buffer layout shall not be changed.
20247 2013-05-27 Leo Liu <sdl.web@gmail.com>
20249 * progmodes/octave.el (inferior-octave-directory-tracker-resync):
20251 (inferior-octave-directory-tracker): Automatically re-sync
20253 (octave-help): Improve handling of 'See also'.
20255 2013-05-27 Stefan Monnier <monnier@iro.umontreal.ca>
20257 * doc-view.el: Minor naming convention tweaks.
20258 (desktop-buffer-mode-handlers): Don't add to it repeatedly.
20260 * image-mode.el (image-mode-reapply-winprops): Call image-mode-winprops
20261 even if there's no `display' property yet (bug#14435).
20263 2013-05-25 Eli Zaretskii <eliz@gnu.org>
20265 * subr.el (unmsys--file-name): Rename from reveal-filename.
20267 * Makefile.in (custom-deps, finder-data, autoloads)
20268 ($(MH_E_DIR)/mh-loaddefs.el, $(TRAMP_DIR)/tramp-loaddefs.el)
20269 ($(CAL_DIR)/cal-loaddefs.el, $(CAL_DIR)/diary-loaddefs.el)
20270 ($(CAL_DIR)/hol-loaddefs.el): All users changed.
20272 2013-05-25 Stefan Monnier <monnier@iro.umontreal.ca>
20274 * emacs-lisp/lisp.el (lisp-completion-at-point): Don't use
20275 error-completion on the first 2 args of condition-case (bug#14446).
20278 2013-05-25 Leo Liu <sdl.web@gmail.com>
20280 * comint.el (comint-previous-matching-input): Do not flood the
20281 *Messages* buffer with trivial messages.
20283 2013-05-25 Stefan Monnier <monnier@iro.umontreal.ca>
20285 * progmodes/flymake.el (flymake-nop): Don't return a string.
20286 (flymake-set-at): Fix typo.
20288 * simple.el (read--expression): New function, extracted from
20289 eval-expression. Set completion-at-point-functions (bug#14465).
20290 (eval-expression, eval-minibuffer): Use it.
20292 2013-05-25 Xue Fuqiao <xfq.free@gmail.com>
20294 * progmodes/flymake.el (flymake-save-buffer-in-file)
20295 (flymake-makehash, flymake-posn-at-point-as-event, flymake-nop)
20296 (flymake-selected-frame, flymake-log, flymake-ins-after)
20297 (flymake-set-at, flymake-get-buildfile-from-cache)
20298 (flymake-add-buildfile-to-cache, flymake-clear-buildfile-cache)
20299 (flymake-find-possible-master-files, flymake-save-buffer-in-file):
20300 Refine the doc string.
20301 (flymake-get-file-name-mode-and-masks): Reformat.
20302 (flymake-get-real-file-name-function): Fix a minor bug.
20304 2013-05-24 Juri Linkov <juri@jurta.org>
20306 * progmodes/grep.el (grep-mode-font-lock-keywords):
20307 Support =linenumber= format used by git-grep for lines with
20308 function names. (Bug#13549)
20310 2013-05-24 Stefan Monnier <monnier@iro.umontreal.ca>
20312 * progmodes/octave.el (octave-smie-rules): Return nil rather than
20313 0 after a semi-colon; it works better for smie-auto-fill.
20314 (octave--indent-new-comment-line): New function.
20315 (octave-indent-new-comment-line): Use it (indirectly).
20316 (octave-mode): Don't disable smie-auto-fill. Use add-function to
20317 modify comment-line-break-function.
20319 * emacs-lisp/smie.el (smie-auto-fill): Rework to be more robust.
20320 (smie-setup): Use add-function to set it.
20322 2013-05-24 Sam Steingold <sds@gnu.org>
20324 * sort.el (delete-duplicate-lines): Accept an optional `keep-blanks'
20325 argument (before the `interactive' argument).
20327 2013-05-24 Stefan Monnier <monnier@iro.umontreal.ca>
20329 * image-mode.el (image-mode-winprops): Add winprops to
20330 image-mode-winprops-alist before running
20331 image-mode-new-window-functions.
20332 * doc-view.el (doc-view-new-window-function): Don't delay
20333 doc-view-goto-page via timers (bug#14435).
20335 2013-05-24 Tassilo Horn <tsdh@gnu.org>
20337 * doc-view.el: Integrate with desktop.el. (Bug#14435)
20338 (doc-view-desktop-save-buffer): New function.
20339 (doc-view-restore-desktop-buffer): New function.
20340 (desktop-buffer-mode-handlers):
20341 Add `doc-view-restore-desktop-buffer' as desktop.el buffer mode
20343 (doc-view-mode): Set `doc-view-desktop-save-buffer' as custom
20344 `desktop-save-buffer' function.
20346 2013-05-24 Michael Albinus <michael.albinus@gmx.de>
20348 * net/tramp-gvfs.el (tramp-gvfs-enabled): New defconst.
20349 (tramp-gvfs-file-name-handler): Raise a user error when
20350 `tramp-gvfs-enabled' is nil.
20351 (top): Register signals only when `tramp-gvfs-enabled' is non-nil.
20352 Do not raise a user error when loading package. (Bug#14447)
20354 * net/xesam.el: Move to obsolete/.
20356 2013-05-24 Glenn Morris <rgm@gnu.org>
20358 * font-lock.el (lisp-font-lock-keywords-2): Add with-coding-priority.
20360 * emacs-lisp/chart.el (chart-sort): Replace obsolete `object-name'.
20362 * progmodes/cperl-mode.el (cperl-mode): Use fboundp.
20363 (Info-find-node, Man-getpage-in-background): Declare.
20365 * mail/unrmail.el (unrmail):
20366 Replace obsolete detect-coding-with-priority.
20368 * net/socks.el (socks-split-string): Use this rather than split-string.
20369 (socks-nslookup-host): Update for above change.
20370 (dynamic-choice, s5-dynamic-choice-match)
20371 (s5-dynamic-choice-match-inline, s5-widget-value-create):
20372 Comment out unused code.
20374 * tooltip.el (tooltip-use-echo-area): Warn only on 'set.
20375 * progmodes/gud.el (gud-gdb-completion-function): Move before use.
20376 (gud-tooltip-echo-area): Make obsolete.
20377 (gud-tooltip-process-output, gud-tooltip-tips): Also check tooltip-mode.
20379 * progmodes/js.el (js--optimize-arglist): Declare.
20381 * progmodes/ruby-mode.el (ruby-syntax-propertize-expansion): Declare.
20383 * progmodes/which-func.el (ediff-window-A, ediff-window-B)
20384 (ediff-window-C): Declare.
20386 * obsolete/pgg-gpg.el, obsolete/pgg-pgp.el, obsolete/pgg-pgp5.el:
20387 Tweak requires to silence compiler.
20389 * obsolete/sym-comp.el: No need to load hipper-exp when compiling.
20390 (he-search-string, he-tried-table, he-expand-list)
20391 (he-init-string, he-string-member, he-substitute-string)
20392 (he-reset-string): Declare.
20394 * obsolete/options.el (list-options): Use custom-variable-p,
20395 rather than obsolete alias.
20397 2013-05-23 Sam Steingold <sds@gnu.org>
20399 * simple.el (shell-command-on-region): Pass the `replace' argument
20400 down to `call-process-region' to comply with the doc as reported on
20401 <http://stackoverflow.com/questions/16720458/emacs-noninteractive-call-to-shell-command-on-region-always-deletes-region>
20403 2013-05-23 Stefan Monnier <monnier@iro.umontreal.ca>
20405 * emacs-lisp/smie.el (smie-indent-forward-token)
20406 (smie-indent-backward-token): Handle string tokens (bug#14381).
20408 2013-05-23 Rüdiger Sonderfeld <ruediger@c-plusplus.de>
20410 * ielm.el (ielm-menu): New menu.
20411 (inferior-emacs-lisp-mode): Set comment-start.
20413 2013-05-23 Rüdiger Sonderfeld <ruediger@c-plusplus.de>
20415 * textmodes/reftex.el (reftex-ref-style-toggle):
20416 Fix deactivate action.
20418 * textmodes/reftex-vars.el (reftex-ref-style-alist):
20419 Add cleveref macros.
20421 * textmodes/reftex-parse.el (reftex-locate-bibliography-files):
20422 Accept options for bibliography commands.
20423 * textmodes/reftex-vars.el (reftex-bibliography-commands):
20424 Add addbibresource. Basic Biblatex support.
20426 2013-05-23 Michael Albinus <michael.albinus@gmx.de>
20428 * net/tramp-gvfs.el (top):
20429 * net/xesam.el (xesam-dbus-unique-names): Suppress D-Bus errors
20430 when loading package. (Bug#14447)
20432 2013-05-23 Glenn Morris <rgm@gnu.org>
20434 * progmodes/js.el: No need to load comint when compiling.
20435 (ring-insert, comint-send-string, comint-send-input)
20436 (comint-last-input-end, ido-chop): Declare.
20438 * vc/ediff-diff.el, vc/ediff-merg.el: Require ediff-util at run-time.
20439 * vc/ediff-mult.el: Adjust requires.
20440 (ediff-directories-internal, ediff-directory-revisions-internal)
20441 (ediff-patch-file-internal): Declare.
20442 * vc/ediff-ptch.el: Adjust requires.
20443 (ediff-use-last-dir, ediff-buffers-internal): Declare.
20444 (ediff-find-file): Autoload.
20445 * vc/ediff-util.el: No need to load ediff when compiling.
20446 (ediff-regions-internal): Declare.
20447 * vc/ediff-wind.el: Adjust requires.
20448 (ediff-compute-toolbar-width): Define when compiling.
20449 (ediff-setup-control-buffer, ediff-make-bottom-toolbar): Declare.
20450 * vc/ediff.el: No need to load dired, ediff-ptch when compiling.
20451 (dired-get-filename, dired-get-marked-files)
20452 (ediff-last-dir-patch, ediff-patch-default-directory)
20453 (ediff-get-patch-buffer, ediff-dispatch-file-patching-job)
20454 (ediff-patch-buffer-internal): Declare.
20456 * emacs-lisp/checkdoc.el: No need to load ispell when compiling.
20457 (ispell-process, ispell-buffer-local-words, lm-summary)
20458 (lm-section-start, lm-section-end): Declare.
20459 (checkdoc-ispell-init): Simplify.
20461 * progmodes/vera-mode.el (he-init-string, he-dabbrev-beg)
20462 (he-string-member, he-reset-string, he-substitute-string): Declare.
20464 * eshell/em-ls.el: Adjust requires.
20465 (eshell-glob-regexp): Declare.
20466 * eshell/em-tramp.el: Adjust requires.
20467 (eshell-parse-command): Autoload.
20468 * eshell/em-xtra.el: Adjust requires.
20469 (eshell-parse-command): Autoload.
20470 * eshell/esh-ext.el: Adjust requires.
20471 (eshell-parse-command, eshell-close-handles): Autoload.
20472 * eshell/esh-io.el: Adjust requires.
20473 (eshell-output-filter): Autoload.
20474 * eshell/esh-util.el: No need to load tramp when compiling.
20475 (tramp-file-name-structure, ange-ftp-ls, ange-ftp-file-modtime):
20477 (eshell-parse-ange-ls): Require ange-ftp and tramp.
20478 * eshell/em-alias.el, eshell/em-banner.el, eshell/em-basic.el:
20479 * eshell/em-cmpl.el, eshell/em-glob.el, eshell/em-pred.el:
20480 * eshell/em-prompt.el, eshell/em-rebind.el, eshell/em-smart.el:
20481 * eshell/em-term.el, eshell/esh-arg.el, eshell/esh-mode.el:
20482 * eshell/esh-opt.el, eshell/esh-proc.el:
20483 * eshell/esh-var.el: Adjust requires.
20484 * eshell/eshell.el: Do not require esh-util twice.
20485 (eshell-add-input-to-history): Declare.
20486 (eshell-command): Check history module is active before using it.
20488 * eshell/em-ls.el (eshell-ls-dir): Fix -A handling.
20490 2013-05-22 Leo Liu <sdl.web@gmail.com>
20492 * progmodes/octave.el (inferior-octave-startup): Fix bug#14433.
20494 2013-05-22 Michael Albinus <michael.albinus@gmx.de>
20496 * autorevert.el (auto-revert-notify-add-watch)
20497 (auto-revert-notify-handler): Add `attrib' for the inotify case,
20498 it indicates changes in file modification time.
20500 2013-05-22 Glenn Morris <rgm@gnu.org>
20502 * emacs-lisp/bytecomp.el (byte-compile-file-form-autoload):
20503 Always delete the autoloaded function from the noruntime and
20504 unresolved functions lists.
20506 * allout.el: No need to load epa, epg, overlay when compiling.
20507 (epg-context-set-passphrase-callback, epg-list-keys)
20508 (epg-decrypt-string, epg-encrypt-string, epg-user-id-string)
20509 (epg-key-user-id-list): Declare.
20511 * emulation/viper-cmd.el (viper-set-searchstyle-toggling-macros)
20512 (viper-set-parsing-style-toggling-macro)
20513 (viper-set-emacs-state-searchstyle-macros):
20514 Use called-interactively-p on Emacs.
20515 (viper-looking-back): Make it an obsolete alias. Update callers.
20516 * emulation/viper-ex.el: Load viper-keym, not viper-cmd.
20517 Use looking-back rather than viper-looking-back.
20518 (viper-tmp-insert-at-eob, viper-enlarge-region)
20519 (viper-read-string-with-history, viper-register-to-point)
20520 (viper-append-to-register, viper-change-state-to-vi)
20521 (viper-backward-char-carefully, viper-forward-char-carefully)
20522 (viper-Put-back, viper-put-back, viper-add-newline-at-eob-if-necessary)
20523 (viper-change-state-to-emacs): Declare.
20524 * emulation/viper-macs.el: Load viper-mous, viper-ex, not viper-cmd.
20525 (viper-change-state-to-insert, viper-change-state-to-vi): Declare.
20526 * emulation/viper-mous.el: Do not load viper-cmd.
20527 (viper-backward-char-carefully, viper-forward-char-carefully)
20528 (viper-forward-word, viper-adjust-window): Declare.
20530 * vc/ediff.el (ediff-version): Use called-interactively-p on Emacs.
20532 * progmodes/idlw-help.el (idlwave-help-fontify):
20533 Use called-interactively-p.
20535 * term/w32console.el (w32-get-console-codepage)
20536 (w32-get-console-output-codepage): Declare.
20538 * dframe.el (x-sensitive-text-pointer-shape, x-pointer-shape):
20539 Remove unnecessary declarations.
20540 (dframe-message): Doc fix.
20542 * info.el (dframe-select-attached-frame, dframe-current-frame):
20545 * speedbar.el (speedbar-message): Make it an obsolete alias.
20546 Update all callers.
20547 (speedbar-with-attached-buffer)
20548 (speedbar-maybee-jump-to-attached-frame): Make these aliases obsolete.
20549 (speedbar-with-writable): Use backquote.
20550 * emacs-lisp/eieio-opt.el (eieio-describe-class-sb):
20551 * emacs-lisp/eieio-speedbar.el (eieio-speedbar-handle-click):
20552 Use dframe-with-attached-buffer, dframe-maybee-jump-to-attached-frame
20553 rather than speedbar- aliases.
20554 * mail/rmail.el: Load dframe rather than speedbar when compiling.
20555 (speedbar-make-specialized-keymap, speedbar-insert-button)
20556 (dframe-select-attached-frame, dframe-maybee-jump-to-attached-frame)
20557 (speedbar-do-function-pointer): Declare.
20558 (rmail-speedbar-button, rmail-speedbar-find-file)
20559 (rmail-speedbar-move-message):
20560 Use dframe-with-attached-buffer rather than speedbar- alias.
20561 * progmodes/gud.el: Load dframe rather than speedbar when compiling.
20562 (dframe-message, speedbar-make-specialized-keymap)
20563 (speedbar-add-expansion-list, speedbar-mode-functions-list)
20564 (speedbar-make-tag-line, speedbar-remove-localized-speedbar-support)
20565 (speedbar-insert-button, dframe-select-attached-frame)
20566 (dframe-maybee-jump-to-attached-frame)
20567 (speedbar-change-initial-expansion-list)
20568 (speedbar-previously-used-expansion-list-name): Declare.
20569 (gud-speedbar-item-info, gud-gdb-goto-stackframe):
20570 Use dframe-message, dframe-with-attached-buffer rather than
20572 (gud-sentinel): Silence compiler.
20573 * progmodes/vhdl-mode.el (speedbar-refresh)
20574 (speedbar-do-function-pointer, speedbar-add-supported-extension)
20575 (speedbar-add-mode-functions-list, speedbar-make-specialized-keymap)
20576 (speedbar-change-initial-expansion-list, speedbar-add-expansion-list)
20577 (speedbar-extension-list-to-regex, speedbar-directory-buttons)
20578 (speedbar-file-lists, speedbar-make-tag-line)
20579 (speedbar-line-directory, speedbar-goto-this-file)
20580 (speedbar-center-buffer-smartly, speedbar-change-expand-button-char)
20581 (speedbar-delete-subblock, speedbar-position-cursor-on-line)
20582 (speedbar-make-button, speedbar-reset-scanners)
20583 (speedbar-files-item-info, speedbar-line-text)
20584 (speedbar-find-file-in-frame, speedbar-set-timer)
20585 (dframe-maybee-jump-to-attached-frame, speedbar-line-file): Declare.
20586 (speedbar-with-writable): Do not (re)define it.
20587 (vhdl-speedbar-find-file): Use dframe-maybee-jump-to-attached-frame
20588 rather than speedbar- alias.
20590 2013-05-21 Leo Liu <sdl.web@gmail.com>
20592 * progmodes/octave.el (octave-mode-menu): Update and re-organize
20594 (octave-mode): Tweak fill-nobreak-predicate.
20595 (inferior-octave-startup): Check process to avoid infinite loop.
20596 (inferior-octave): Pop to buffer first to show abornmal process
20599 2013-05-21 Glenn Morris <rgm@gnu.org>
20601 * printing.el (pr-menu-bar): Define when compiling.
20603 2013-05-21 Leo Liu <sdl.web@gmail.com>
20605 * progmodes/octave.el (octave-auto-fill): Remove.
20606 (octave-indent-new-comment-line): Improve.
20607 (octave-mode): Use auto fill mode through
20608 comment-line-break-function and fill-nobreak-predicate.
20609 (octave-goto-function-definition): Support DEFUN_DLD.
20610 (octave-beginning-of-defun): Small tweak.
20611 (octave-help): Show parent directory.
20613 2013-05-21 Glenn Morris <rgm@gnu.org>
20615 * files.el (dired-unmark):
20616 * progmodes/gud.el (gdb-input): Update declarations.
20618 * calculator.el (electric, ehelp): No need to load when compiling.
20619 (Electric-command-loop, electric-describe-mode): Declare.
20621 * doc-view.el (doc-view-current-converter-processes): Move before use.
20623 * emacs-lisp/easy-mmode.el (define-globalized-minor-mode):
20624 Move MODE-set-explicitly definition before use.
20626 * international/mule-diag.el (mule-diag):
20627 Don't use obsolete window-system-version.
20629 * mail/feedmail.el (smtpmail): No need to load when compiling.
20630 (smtpmail-via-smtp, smtpmail-smtp-server): Declare.
20632 * mail/mail-utils.el (rfc822): No need to load when compiling.
20633 (rfc822-addresses): Autoload it.
20634 (mail-strip-quoted-names): Trivial simplification.
20636 * mail/rmail.el (rmail-mime-message-p, rmail-mime-toggle-raw): Declare.
20637 (rmail-retry-failure): Don't assume that rmail-mime-feature == rmailmm.
20639 * net/snmp-mode.el (tempo): Don't duplicate requires.
20641 * progmodes/prolog.el (info): No need to load when compiling.
20642 (comint): Require before shell requires it.
20643 (Info-goto-node): Autoload it.
20644 (Info-follow-nearest-node): Declare.
20645 (prolog-help-info, prolog-goto-predicate-info): No need to require info.
20647 * textmodes/artist.el (picture-mode-exit): Declare.
20649 * textmodes/reftex-parse.el (reftex-parse-from-file):
20650 Trivial rewrite so the compiler can parse it better.
20652 2013-05-20 Leo Liu <sdl.web@gmail.com>
20654 * progmodes/octave.el (octave-help-mode-map)
20655 (octave-help-mode-finish-hook): New variables.
20656 (octave-help-mode, octave-help-mode-finish): New functions.
20657 (octave-help): Use octave-help-mode.
20659 2013-05-20 Glenn Morris <rgm@gnu.org>
20661 * format-spec.el (format-spec): Allow spec chars with nil. (Bug#14420)
20663 2013-05-19 Dmitry Gutov <dgutov@yandex.ru>
20665 * progmodes/ruby-mode.el (ruby-expression-expansion-re): Allow to
20666 start at point, so that expansion starting right after opening
20667 slash in a regexp is recognized.
20668 (ruby-syntax-before-regexp-re): New defvar, extracted from
20669 ruby-syntax-propertize-function. Since the value of this regexp
20670 is looked up at runtime now, we should be able to turn
20671 `ruby-syntax-methods-before-regexp' into a defcustom later.
20672 (ruby-syntax-propertize-function): Split regexp matching into two
20673 parts, for opening and closing slashes. That allows us to skip
20674 over string interpolations and support multiline regexps.
20675 Don't call `ruby-syntax-propertize-expansions', instead use another rule
20676 for them, which calls `ruby-syntax-propertize-expansion'.
20677 (ruby-syntax-propertize-expansions): Move `remove-text-properties'
20678 call to `ruby-syntax-propertize-function'.
20679 (ruby-syntax-propertize-expansion): Extracted from
20680 `ruby-syntax-propertize-expansions'. Handles one expansion.
20681 (ruby-syntax-propertize-percent-literal): Leave point right after
20682 the percent symbol, so that the expression expansion rule can
20683 propertize the contents.
20684 (ruby-syntax-propertize-heredoc): Leave point at bol following the
20686 (ruby-syntax-propertize-expansions): Remove.
20688 2013-05-18 Juri Linkov <juri@jurta.org>
20690 * man.el (Man-default-man-entry): Remove `-' from the end
20691 of the default value. (Bug#14400)
20693 2013-05-18 Glenn Morris <rgm@gnu.org>
20695 * comint.el (comint-password-prompt-regexp):
20696 Allow "password for XXX" where XXX contains colons (eg https://...).
20698 2013-05-18 Leo Liu <sdl.web@gmail.com>
20700 * progmodes/octave.el (inferior-octave-startup): Use OCTAVE_SRCDIR
20701 instead. Include "--no-gui" to prevent hangs for Octave > 3.7.
20702 (octave-source-directories): Don't check process.
20703 (octave-source-directories, octave-find-definition): Doc fix.
20705 2013-05-18 Glenn Morris <rgm@gnu.org>
20707 * progmodes/vhdl-mode.el (vhdl-mode-map-init):
20708 Remove backspace/delete bindings. (Bug#14392)
20710 * cus-dep.el (custom-make-dependencies): Sort the output.
20711 (custom-versions-load-alist): Convert comment to doc.
20713 2013-05-17 Leo Liu <sdl.web@gmail.com>
20715 * newcomment.el (comment-search-backward): Stricter in finding
20716 comment start. (Bug#14303)
20718 * progmodes/octave.el (octave-comment-start): Remove the SPC char.
20719 (octave-comment-start-skip): Properly anchored.
20721 2013-05-17 Leo Liu <sdl.web@gmail.com>
20723 * emacs-lisp/smie.el (smie-highlight-matching-block-mode):
20724 Clean up when turned off. (Bug#14395)
20725 (smie--highlight-matching-block-overlay): No longer buffer-local.
20726 (smie-highlight-matching-block): Adjust.
20728 2013-05-17 Paul Eggert <eggert@cs.ucla.edu>
20730 Doc string fix for "nanoseconds" (Bug#14406).
20731 * emacs-lisp/timer.el (timer-relative-time, timer-inc-time):
20732 Fix doc string typo that had "nanoseconds" instead of "microseconds".
20734 2013-05-17 Jay Belanger <jay.p.belanger@gmail.com>
20736 * calc/calc-units.el (math-extract-units): Preserve powers
20739 2013-05-17 Leo Liu <sdl.web@gmail.com>
20741 * subr.el (delete-consecutive-dups): New function.
20742 * ido.el (ido-set-matches-1): Use it.
20743 * progmodes/octave.el (inferior-octave-completion-table): Use it.
20744 * ido.el (ido-remove-consecutive-dups): Remove.
20746 2013-05-17 Stefan Monnier <monnier@iro.umontreal.ca>
20748 * progmodes/f90.el (f90-keywords-re, f90-keywords-level-3-re)
20749 (f90-hpf-keywords-re, f90-constants-re): Use \\_< rather than
20750 regexp-opt's `words'.
20752 2013-05-16 Leo Liu <sdl.web@gmail.com>
20754 * emacs-lisp/smie.el (smie-matching-block-highlight): New face.
20755 (smie--highlight-matching-block-overlay)
20756 (smie--highlight-matching-block-lastpos)
20757 (smie--highlight-matching-block-timer): New variables.
20758 (smie-highlight-matching-block): New function.
20759 (smie-highlight-matching-block-mode): New minor mode. (Bug#14395)
20760 (smie-setup): Conditionally enable smie-blink-matching-open.
20762 2013-05-16 Wilson Snyder <wsnyder@wsnyder.org>
20764 Sync with upstream verilog-mode r840.
20765 * progmodes/verilog-mode.el (verilog-mode-version)
20766 (verilog-mode-release-date): Update.
20767 (verilog-auto-lineup, verilog-auto-reset): Doc fixes.
20768 (verilog-sig-tieoff): Fix string error on
20769 AUTORESET with colon define, bug594. Reported by Andrew Hou.
20770 (verilog-read-decls): Fix parameters confusing
20771 AUTOINST interfaces, bug565. Reported by Leith Johnson.
20773 2013-05-16 Eli Zaretskii <eliz@gnu.org>
20775 * subr.el (reveal-filename): New function.
20777 * loadup.el: Compute Emacs executable versions on MS-Windows,
20778 where executables have the .exe extension. Add a hard link
20779 emacs-XX.YY.ZZ.exe on MS-Windows.
20781 * Makefile.in (XARGS_LIMIT): New variable.
20782 (custom-deps, finder-data, autoloads)
20783 ($(MH_E_DIR)/mh-loaddefs.el, $(TRAMP_DIR)/tramp-loaddefs.el)
20784 ($(CAL_DIR)/cal-loaddefs.el, $(CAL_DIR)/diary-loaddefs.el)
20785 ($(CAL_DIR)/hol-loaddefs.el): Use reveal-filename.
20786 (compile-main): Limit xargs according to $(XARGS_LIMIT).
20788 2013-05-16 Leo Liu <sdl.web@gmail.com>
20790 * progmodes/octave.el (octave-indent-defun): Mark obsolete.
20791 (octave-mode-menu, octave-mode-map): Remove its uses.
20793 2013-05-16 Reto Zimmermann <reto@gnu.org>
20795 Sync with upstream vhdl mode v3.34.2.
20796 * progmodes/vhdl-mode.el: Use `push' throughout.
20797 (vhdl-version, vhdl-time-stamp, vhdl-doc-release-notes): Update.
20798 (vhdl-compiler-alist): Replace "\t\n" by "\\t\\n".
20799 Add IBM & Quartus compiler. Enhance entry for ADVance MS compiler.
20800 (vhdl-actual-generic-name): New option to derive actual generic name.
20801 (vhdl-port-paste-signals): Replace formal by actual generics.
20802 (vhdl-beautify): New name for old group vhdl-align. Update users.
20803 (vhdl-beautify-options): New option.
20804 (vhdl-last-input-event): New compat alias. Use throughout.
20805 (vhdl-goto-line): Replace user level function `goto-line'.
20806 (vhdl-mode-map): Add bindings for vhdl-fix-statement-region,
20807 vhdl-fix-statement-buffer.
20808 (vhdl-create-mode-menu): Add some entries.
20809 (vhdl-align-region-groups): Respect vhdl-beautify-options.
20810 (vhdl-align-inline-comment-region-1): Handle "--" inside string.
20811 (vhdl-fixup-whitespace-region): Handle symbols at EOL.
20812 (vhdl-fix-statement-region, vhdl-fix-statement-buffer): New commands,
20813 to force statements on one line.
20814 (vhdl-remove-trailing-spaces-region):
20815 New, split from vhdl-remove-trailing-spaces.
20816 (vhdl-beautify-region): Fix statements, trailing spaces, ^M character.
20817 Respect vhdl-beautify-options.
20818 (vhdl-update-sensitivity-list-buffer): If non-interactive save buffer.
20819 (vhdl-update-sensitivity-list): Not add with index if exists without.
20820 Not include array index with signal. Ignore keywords in comments.
20821 (vhdl-get-visible-signals): Regexp tweaks.
20822 (vhdl-template-component-inst): Handle empty library.
20823 (vhdl-template-type): Add template for 'enum' type.
20824 (vhdl-port-paste-generic-map, vhdl-port-paste-constants):
20825 Use vhdl-replace-string.
20826 (vhdl-port-paste-signals): Use vhdl-prepare-search-1.
20827 (vhdl-speedbar-mode-map): Rename from vhdl-speedbar-key-map.
20828 (vhdl-speedbar-initialize): Update for above name change.
20829 (vhdl-compose-wire-components): Fix in handling of constants.
20830 (vhdl-error-regexp-emacs-alist): New variable.
20831 (vhdl-error-regexp-add-emacs): New function;
20832 adds support for new compile.el (Emacs 22+)
20833 (vhdl-generate-makefile-1): Change target order for single lib. units.
20834 Allow use of absolute file names.
20836 2013-05-16 Leo Liu <sdl.web@gmail.com>
20838 * simple.el (prog-indent-sexp): Indent enclosing defun.
20840 2013-05-15 Glenn Morris <rgm@gnu.org>
20842 * cus-start.el (show-trailing-whitespace): Move to editing basics.
20843 * faces.el (trailing-whitespace): Don't use whitespace-faces group.
20844 * obsolete/old-whitespace.el (whitespace-faces): Remove group.
20845 (whitespace-highlight): Move to whitespace group.
20847 * comint.el (comint-source):
20848 * pcmpl-linux.el (pcmpl-linux):
20849 * shell.el (shell-faces):
20850 * eshell/esh-opt.el (eshell-opt):
20851 * international/ccl.el (ccl): Remove empty custom groups.
20853 * completion.el (dynamic-completion-mode):
20854 * jit-lock.el (jit-lock-debug-mode):
20855 * minibuffer.el (completion-in-region-mode):
20856 * type-break.el (type-break-mode-line-message-mode)
20857 (type-break-query-mode):
20858 * emulation/tpu-edt.el (tpu-edt-mode):
20859 * progmodes/subword.el (global-subword-mode, global-superword-mode):
20860 * progmodes/vhdl-mode.el (vhdl-electric-mode, vhdl-stutter-mode):
20861 * term/vt100.el (vt100-wide-mode): Specify explicit :group.
20863 * term/xterm.el (xterm): Change parent group to terminals.
20865 * master.el (master): Remove empty custom group.
20866 (master-mode): Remove unused :group argument.
20867 * textmodes/refill.el (refill): Remove empty custom group.
20868 (refill-mode): Remove unused :group argument.
20870 * textmodes/rst.el (rst-compile-toolsets): Use rst-compile group.
20872 * cus-dep.el: Provide a feature.
20873 (custom-make-dependencies): Ignore dotfiles (dir-locals).
20874 Don't mistakenly ignore files whose basenames match a basename
20875 from preloaded-file-list (eg cedet/ede/simple.el).
20876 Add a fallback method for getting :group.
20878 2013-05-15 Juri Linkov <juri@jurta.org>
20880 * isearch.el (isearch-char-by-name): Rename from
20881 `isearch-insert-char-by-name'. Doc fix.
20882 (isearch-forward): Mention `isearch-char-by-name' in
20883 the docstring. (Bug#13348)
20885 * isearch.el (minibuffer-local-isearch-map): Bind "\r" to
20886 `exit-minibuffer' instead of
20887 `isearch-nonincremental-exit-minibuffer'.
20888 (isearch-edit-string): Remove mention of
20889 `isearch-nonincremental-exit-minibuffer' from docstring.
20890 (isearch-nonincremental-exit-minibuffer): Mark as obsolete.
20891 (isearch-forward-exit-minibuffer)
20892 (isearch-reverse-exit-minibuffer): Add docstring. (Bug#13348)
20894 2013-05-15 Stefan Monnier <monnier@iro.umontreal.ca>
20896 * loadup.el: Just use unversioned DOC.
20898 * nxml/nxml-mode.el: Treat unclosed <[[, <?, comment, and other
20899 literals as extending to EOB.
20900 (nxml-last-fontify-end): Remove unused variable.
20901 (nxml-after-change1): Use with-silent-modifications.
20902 (nxml-extend-after-change-region): Simplify.
20903 (nxml-extend-after-change-region1): Remove function.
20904 (nxml-after-change1): Don't adjust for dependent regions.
20905 (nxml-fontify-matcher): Simplify.
20906 * nxml/xmltok.el (xmltok-dependent-regions): Remove variable.
20907 (xmltok-add-dependent): Remove function.
20908 (xmltok-scan-after-lt, xmltok-scan-after-processing-instruction-open)
20909 (xmltok-scan-after-comment-open, xmltok-scan-prolog-literal)
20910 (xmltok-scan-prolog-after-processing-instruction-open): Treat
20911 unclosed <[[, <?, comment, and other literals as extending to EOB.
20912 * nxml/rng-valid.el (rng-mark-xmltok-dependent-regions)
20913 (rng-mark-xmltok-dependent-region, rng-dependent-region-changed):
20915 (rng-do-some-validation-1): Don't mark dependent regions.
20916 * nxml/nxml-rap.el (nxml-adjust-start-for-dependent-regions)
20917 (nxml-mark-parse-dependent-regions, nxml-mark-parse-dependent-region)
20918 (nxml-clear-dependent-regions): Remove functions.
20919 (nxml-scan-after-change, nxml-scan-prolog, nxml-tokenize-forward)
20920 (nxml-ensure-scan-up-to-date):
20921 Don't clear&mark dependent regions.
20923 2013-05-15 Leo Liu <sdl.web@gmail.com>
20925 * progmodes/octave.el (octave-goto-function-definition):
20926 Improve and fix callers.
20928 2013-05-15 Stefan Monnier <monnier@iro.umontreal.ca>
20930 * emacs-lisp/cl-extra.el (cl-getf): Return the proper value in
20931 the setter (bug#14387).
20933 * progmodes/f90.el (f90-blocks-re): Include the terminating \> in the
20934 surrounding group (bug#14402).
20936 2013-05-14 Juri Linkov <juri@jurta.org>
20938 * subr.el (find-tag-default-as-regexp): Return nil if `tag' is nil.
20941 2013-05-14 Glenn Morris <rgm@gnu.org>
20943 * progmodes/f90.el (f90-imenu-generic-expression):
20944 Fix typo in 2013-05-08 change. (Bug#14402)
20946 2013-05-14 Jean-Philippe Gravel <jpgravel@gmail.com>
20948 * progmodes/gdb-mi.el (gdb-running, gdb-starting):
20949 Remove signals for which replies are never received.
20951 2013-05-14 Jean-Philippe Gravel <jpgravel@gmail.com>
20953 * progmodes/gdb-mi.el: Fix non-responsive gud commands (bug#13845)
20954 (gdb-handler-alist, gdb-handler-number): Remove variables.
20955 (gdb-handler-list): New variable.
20956 (gdb-add-handler, gdb-delete-handler, gdb-get-handler-function)
20957 (gdb-pending-handler-p, gdb-handle-reply)
20958 (gdb-remove-all-pending-triggers): New functions.
20959 (gdb-discard-unordered-replies): New defcustom.
20960 (gdb-handler): New defstruct.
20961 (gdb-wait-for-pending): Fix invalid backquote. Use gdb-handler-list.
20962 instead of gdb-pending-triggers. Update docstring.
20963 (gdb-init-1): Remove dead variables. Initialize gdb-handler-list.
20964 (gdb-speedbar-update, gdb-speedbar-timer-fn, gdb-var-update)
20965 (gdb-var-update-handler, def-gdb-auto-update-trigger)
20966 (def-gdb-auto-update-handler, gdb-get-changed-registers)
20967 (gdb-changed-registers-handler, gdb-get-main-selected-frame)
20968 (gdb-frame-handler): Pending triggers are now automatically managed.
20969 (def-gdb-trigger-and-handler, def-gdb-auto-update-handler):
20971 (gdb-input): Automatically handles pending triggers. Update docstring.
20972 (gdb-resync): Replace gdb-pending-triggers by gdb-handler-list.
20973 (gdb-thread-exited, gdb-thread-selected, gdb-register-names-handler):
20975 (gdb-done-or-error): Now use gdb-handle-reply.
20977 2013-05-14 Jean-Philippe Gravel <jpgravel@gmail.com>
20979 * progmodes/gdb-mi.el (gdb-input): Include token numbers in
20982 2013-05-14 Glenn Morris <rgm@gnu.org>
20984 * subr.el (user-emacs-directory-warning): New option.
20985 (locate-user-emacs-file): Handle non-accessible .emacs.d. (Bug#13930)
20987 2013-05-14 Leo Liu <sdl.web@gmail.com>
20989 * progmodes/octave.el (octave-font-lock-keywords): Fix error
20991 (octave-goto-function-definition, octave-find-definition): Minor tweaks.
20992 (octave-font-lock-texinfo-comment): Fix invalid search bound
20993 error: wrong side of point.
20995 2013-05-14 Glenn Morris <rgm@gnu.org>
20997 * progmodes/flymake.el (flymake-xml-program): New option.
20998 (flymake-xml-init): Use it.
21000 * term/xterm.el: Provide a feature.
21002 * term/sup-mouse.el: Move to obsolete/. Provide a feature.
21004 2013-05-13 Glenn Morris <rgm@gnu.org>
21006 * cus-dep.el (defcustom-mh, defgroup-mh, defface-mh):
21007 Add compat aliases as a hack workaround. (Bug#14384)
21009 2013-05-13 Leo Liu <sdl.web@gmail.com>
21011 * progmodes/octave.el (octave-indent-comment): Fix indentation for
21013 (octave-mode-map): Bind octave-indent-defun to C-c C-q instead of
21015 (octave-comment-start-skip): Include %!.
21016 (octave-mode): Set comment-start-skip to octave-comment-start-skip.
21018 2013-05-12 Leo Liu <sdl.web@gmail.com>
21020 * progmodes/octave.el (inferior-octave-startup): Store the value
21021 of __octave_srcdir__ for octave-source-directories.
21022 (inferior-octave-check-process): New function refactored out of
21023 inferior-octave-send-list-and-digest.
21024 (octave-source-directories)
21025 (octave-find-definition-filename-function): New variables.
21026 (octave-source-directories)
21027 (octave-find-definition-default-filename): New functions.
21028 (octave-find-definition): Improve to find functions implemented in C++.
21030 2013-05-12 Glenn Morris <rgm@gnu.org>
21032 * calendar/diary-lib.el (diary-outlook-format-1):
21033 Don't include dayname in the output. (Bug#14349)
21035 2013-05-11 Glenn Morris <rgm@gnu.org>
21037 * emacs-lisp/autoload.el (generated-autoload-load-name): Doc fix.
21039 * cus-dep.el (custom-make-dependencies): Only use safe local variables.
21040 Treat cc-provide like provide.
21042 2013-05-11 Kevin Ryde <user42@zip.com.au>
21044 * cus-dep.el (custom-make-dependencies):
21045 Use generated-autoload-load-name for the sake of files such
21046 such cedet/semantic/bovine/c.el, where the base file name
21047 is not in load-path. (Bug#5277)
21049 2013-05-11 Glenn Morris <rgm@gnu.org>
21051 * dos-vars.el, emacs-lisp/cl-indent.el, emulation/tpu-extras.el:
21054 2013-05-11 Leo Liu <sdl.web@gmail.com>
21056 * progmodes/octave.el (octave-indent-comment): Improve.
21057 (octave-eldoc-message-style, octave-eldoc-cache): New variables.
21058 (octave-eldoc-function-signatures, octave-eldoc-function):
21060 (octave-mode, inferior-octave-mode): Add eldoc support.
21062 2013-05-11 Richard Stallman <rms@gnu.org>
21064 * epa.el (epa-decrypt-file): Take output file name as argument
21065 and read it using `interactive'.
21067 2013-05-11 Leo Liu <sdl.web@gmail.com>
21069 * progmodes/octave.el (octave-beginning-of-line)
21070 (octave-end-of-line): Check before using up-list because it jumps
21071 out of more syntactic contructs since moving to smie.
21072 (octave-indent-comment): New function.
21073 (octave-mode): Use it in smie-indent-functions. (Bug#14350)
21074 (octave-begin-keywords, octave-end-keywords)
21075 (octave-reserved-words, octave-smie-bnf-table)
21076 (octave-smie-rules): Add new keywords from Octave 3.6.4.
21078 2013-05-11 Glenn Morris <rgm@gnu.org>
21080 * faces.el (internal-face-x-get-resource):
21081 * frame.el (ns-display-monitor-attributes-list):
21082 * calc/calc-aent.el (math-to-radians-2):
21083 * emacs-lisp/package.el (tar-header-name, tar-header-link-type):
21086 * calc/calc-menu.el: Make it loadable in isolation.
21088 * net/eudcb-bbdb.el: Make it loadable without bbdb.
21089 (eudc-bbdb-filter-non-matching-record, eudc-bbdb-extract-phones)
21090 (eudc-bbdb-extract-addresses, eudc-bbdb-format-record-as-result)
21091 (eudc-bbdb-query-internal): Require 'bbdb.
21093 * lpr.el (lpr-headers-switches):
21094 * emacs-lisp/testcover.el (testcover-compose-functions): Fix :type.
21096 * progmodes/sql.el (sql-login-params): Fix and improve :type.
21098 * emulation/edt-mapper.el: In batch mode, error rather than hang.
21100 * term.el (term-set-escape-char): Make it idempotent.
21102 2013-05-10 Leo Liu <sdl.web@gmail.com>
21104 * progmodes/octave.el (inferior-octave-completion-table):
21105 No longer a function and all uses changed. Use cache to speed up
21106 completion due to bug#11906.
21107 (octave-beginning-of-defun): Re-write to be more general.
21109 2013-05-10 Glenn Morris <rgm@gnu.org>
21111 * emacs-lisp/cl-macs.el (cl-loop): Doc fix.
21113 2013-05-09 Stefan Monnier <monnier@iro.umontreal.ca>
21115 * comint.el (comint-redirect-send-command-to-process): Use :around
21116 rather than :override for comint-redirect-filter.
21117 (comint-redirect-filter): Add the corresponding `orig-filter' argument.
21118 Call it instead of comint-redirect-original-filter-function (which
21119 is gone). Reported by Juanma Barranquero <lekktu@gmail.com>.
21121 2013-05-09 Jan Djärv <jan.h.d@swipnet.se>
21123 * frame.el (display-monitor-attributes-list): Add NS case.
21124 (ns-display-monitor-attributes-list): Declare.
21126 2013-05-09 Ulrich Mueller <ulm@gentoo.org>
21128 * descr-text.el (describe-char): Fix %d/%x typo. (Bug#14360)
21130 2013-05-09 Glenn Morris <rgm@gnu.org>
21132 * international/fontset.el (vertical-centering-font-regexp):
21133 Set standard-value.
21135 * tar-mode.el (tar-superior-buffer, tar-superior-descriptor): Add doc.
21137 * bookmark.el (bookmark-search-delay):
21138 * cus-start.el (vertical-centering-font-regexp):
21139 * ps-mule.el (ps-mule-font-info-database-default):
21140 * ps-print.el (ps-default-fg, ps-default-bg):
21141 * type-break.el (type-break-good-break-interval):
21142 * whitespace.el (whitespace-indentation-regexp)
21143 (whitespace-space-after-tab-regexp):
21144 * emacs-lisp/testcover.el (testcover-1value-functions)
21145 (testcover-noreturn-functions, testcover-progn-functions)
21146 (testcover-prog1-functions):
21147 * emulation/viper-init.el (viper-emacs-state-cursor-color):
21148 * eshell/em-glob.el (eshell-glob-translate-alist):
21149 * play/tetris.el (tetris-tty-colors):
21150 * progmodes/cpp.el (cpp-face-default-list):
21151 * progmodes/flymake.el (flymake-allowed-file-name-masks):
21152 * progmodes/idlw-help.el (idlwave-help-browser-generic-program)
21153 (idlwave-help-browser-generic-args):
21154 * progmodes/make-mode.el (makefile-special-targets-list):
21155 * progmodes/python.el (python-shell-virtualenv-path):
21156 * progmodes/verilog-mode.el (verilog-active-low-regexp)
21157 (verilog-auto-input-ignore-regexp, verilog-auto-inout-ignore-regexp)
21158 (verilog-auto-output-ignore-regexp, verilog-auto-tieoff-ignore-regexp)
21159 (verilog-auto-unused-ignore-regexp, verilog-typedef-regexp):
21160 * textmodes/reftex-vars.el (reftex-format-label-function):
21161 * textmodes/remember.el (remember-diary-file): Fix custom types.
21163 * jka-cmpr-hook.el (jka-compr-mode-alist-additions): Fix typo.
21166 2013-05-09 Leo Liu <sdl.web@gmail.com>
21168 * progmodes/octave.el (inferior-octave-completion-at-point):
21169 Restore file completion. (Bug#14300)
21170 (inferior-octave-startup): Fix incorrect highlighting for the
21173 2013-05-08 Stefan Monnier <monnier@iro.umontreal.ca>
21175 * progmodes/ruby-mode.el: First cut at SMIE support.
21176 (ruby-use-smie): New var.
21177 (ruby-smie-grammar): New constant.
21178 (ruby-smie--bosp, ruby-smie--implicit-semi-p)
21179 (ruby-smie--forward-token, ruby-smie--backward-token)
21180 (ruby-smie-rules): New functions.
21181 (ruby-mode-variables): Setup SMIE if applicable.
21183 2013-05-08 Eli Zaretskii <eliz@gnu.org>
21185 * simple.el (line-move-visual): Signal beginning/end of buffer
21186 only if vertical-motion moved less than it was requested. Avoids
21187 silly incorrect error messages when there are display strings with
21188 multiple newlines at EOL.
21190 2013-05-08 Stefan Monnier <monnier@iro.umontreal.ca>
21192 * progmodes/vera-mode.el (vera-underscore-is-part-of-word):
21193 * progmodes/prolog.el (prolog-underscore-wordchar-flag)
21194 (prolog-char-quote-workaround):
21195 * progmodes/cperl-mode.el (cperl-under-as-char):
21196 * progmodes/vhdl-mode.el (vhdl-underscore-is-part-of-word):
21198 (vhdl-mode-syntax-table, vhdl-mode-ext-syntax-table): Initialize in
21200 (vhdl-mode-syntax-table-init): Remove.
21202 * progmodes/m4-mode.el (m4-mode-syntax-table): Add comment on
21205 * progmodes/ld-script.el (ld-script-mode-syntax-table): Use symbol
21207 (ld-script-font-lock-keywords):
21208 Change regexps to use things like \_< and \_>.
21210 * progmodes/f90.el (f90-mode-syntax-table): Use symbol syntax for "_".
21211 Change all regexps to use things like \_< and \_>.
21213 * progmodes/autoconf.el (autoconf-definition-regexp)
21214 (autoconf-font-lock-keywords, autoconf-current-defun-function):
21215 Handle a _ with symbol syntax.
21216 (autoconf-mode): Don't change the syntax-table for imenu and font-lock.
21218 * progmodes/ada-mode.el (ada-mode-abbrev-table):
21219 Consolidate declaration.
21220 (ada-mode-syntax-table, ada-mode-symbol-syntax-table): Initialize in
21222 (ada-create-syntax-table): Remove.
21223 (ada-capitalize-word): Don't mess with the syntax of "_" since it
21224 already has the right syntax nowadays.
21225 (ada-goto-next-word): Don't change the syntax of "_".
21227 * font-lock.el (lisp-font-lock-keywords-2): Don't highlight obsolete
21230 2013-05-08 Sam Steingold <sds@gnu.org>
21232 * thingatpt.el (thing-at-point): Accept optional second argument
21233 NO-PROPERTIES to strip the text properties from the return value.
21234 * net/browse-url.el (browse-url-url-at-point): Pass NO-PROPERTIES
21235 to `thing-at-point' instead of stripping the properties ourselves.
21236 Also, when `thing-at-point' fails to find a url, prepend "http://"
21237 to the filename at point on the assumption that the user is
21238 pointing at something like gnu.org/gnu.
21240 2013-05-08 Juanma Barranquero <lekktu@gmail.com>
21242 * emacs-lisp/bytecomp.el (byte-compile-insert-header):
21243 * faces.el (crm-separator):
21244 Silence byte-compiler.
21246 * progmodes/gud.el (gdb-speedbar-auto-raise, gud-tooltip-mode)
21247 (tool-bar-map): Remove unneeded defvars.
21249 2013-05-08 Leo Liu <sdl.web@gmail.com>
21251 Re-work a fix for bug#10994 based on Le Wang's patch.
21252 * ido.el (ido-remove-consecutive-dups): New helper.
21253 (ido-completing-read): Use it.
21254 (ido-chop): Revert fix for bug#10994.
21256 2013-05-08 Adam Spiers <emacs@adamspiers.org>
21258 * cus-edit.el (custom-save-variables):
21259 Pretty-print long values. (Bug#14187)
21261 2013-05-08 Glenn Morris <rgm@gnu.org>
21263 * progmodes/m4-mode.el (m4-program): Assume it is in PATH.
21264 (m4-mode-syntax-table): Init in the defvar.
21265 (m4-mode-abbrev-table): Let define-derived-mode define it.
21267 2013-05-08 Tom Tromey <tromey@redhat.com>
21269 * progmodes/m4-mode.el (m4-mode-syntax-table):
21270 Do not treat "_" as word constituent. (Bug#14167)
21272 2013-05-07 Glenn Morris <rgm@gnu.org>
21274 * eshell/em-hist.el (eshell-isearch-map): Initialize in the defvar.
21275 Remove explicit eshell-isearch-cancel-map.
21277 * progmodes/f90.el (f90-smart-end-names): New option.
21278 (f90-smart-end): Doc fix.
21279 (f90-end-block-optional-name): New constant.
21280 (f90-block-match): Respect f90-smart-end-names.
21282 2013-05-07 Stefan Monnier <monnier@iro.umontreal.ca>
21284 * progmodes/octave.el (octave-smie-forward-token): Be more careful
21285 about implicit semi-colons (bug#14218).
21287 2013-05-07 YAMAMOTO Mitsuharu <mituharu@math.s.chiba-u.ac.jp>
21289 * frame.el (display-monitor-attributes-list)
21290 (frame-monitor-attributes): New functions.
21292 2013-05-06 Leo Liu <sdl.web@gmail.com>
21294 * progmodes/octave.el (octave-syntax-propertize-function): Change
21295 \'s syntax to escape when inside double-quoted strings. (Bug#14332)
21296 (octave-font-lock-keywords): Use octave-operator-regexp.
21297 (octave-completion-at-point): Rename from
21298 octave-completion-at-point-function.
21299 (inferior-octave-directory-tracker): Robustify.
21300 (octave-text-functions): Remove and fix its uses. No such things
21303 2013-05-06 Stefan Monnier <monnier@iro.umontreal.ca>
21305 * emacs-lisp/trace.el (trace--display-buffer): New function.
21306 (trace-make-advice): Use it.
21308 2013-05-06 Juri Linkov <juri@jurta.org>
21310 * emacs-lisp/lisp-mode.el (eval-defun-2): Doc fix. (Bug#14344)
21311 (eval-defun-2, eval-defun, eval-last-sexp, eval-last-sexp-1):
21313 (emacs-lisp-mode-map): Replace "minibuffer" with "echo area"
21314 in the help string. (Bug#12985)
21316 2013-05-06 Kelly Dean <kellydeanch@yahoo.com> (tiny change)
21318 * simple.el (shell-command-on-region): Doc fix. (Bug#14279)
21320 2013-05-06 Stefan Monnier <monnier@iro.umontreal.ca>
21322 * progmodes/perl-mode.el: Add support for here documents.
21323 (perl-syntax-propertize-function): Match here-doc markers.
21324 (perl-syntax-propertize-special-constructs): Find their end.
21325 (perl-imenu-generic-expression): Use [:alnum:].
21327 * emacs-lisp/nadvice.el (advice--member-p): Return the advice if found.
21328 (advice--add-function): Refresh the advice if already present
21331 2013-05-06 Ivan Andrus <darthandrus@gmail.com>
21333 * find-file.el (cc-other-file-alist): Add ".m" for ObjC. (Bug#14339)
21335 2013-05-06 Glenn Morris <rgm@gnu.org>
21337 * w32-fns.el (w32-charset-info-alist): Declare.
21339 * eshell/em-cmpl.el: Simply require pcomplete; eg we use a bunch
21340 of its defcustom properties.
21341 (eshell-cmpl-initialize): No need to load pcomplete.
21343 * generic-x.el: No need to require comint when compiling.
21345 * net/eudc-export.el: Make it loadable without bbdb.
21346 (top-level): Use require rather than load-library.
21347 (eudc-create-bbdb-record, eudc-bbdbify-phone)
21348 (eudc-batch-export-records-to-bbdb)
21349 (eudc-insert-record-at-point-into-bbdb, eudc-try-bbdb-insert):
21352 2013-05-06 Stefan Monnier <monnier@iro.umontreal.ca>
21354 * progmodes/octave.el (octave-texinfo-font-lock-keywords): Remove.
21355 (octave-font-lock-texinfo-comment): Use texinfo-font-lock-keywords with
21356 some tweaks, instead.
21358 2013-05-05 Leo Liu <sdl.web@gmail.com>
21360 * progmodes/octave.el (octave-font-lock-keywords)
21361 (octave-font-lock-texinfo-comment): Adjust for the byte-compiler.
21362 (inferior-octave-send-list-and-digest): Improve error message.
21363 (octave-mode, inferior-octave-mode): Use setq-local.
21364 (octave-help): Set info-lookup-mode.
21366 2013-05-05 Richard Stallman <rms@gnu.org>
21368 * vc/compare-w.el (compare-windows-whitespace):
21369 Treat no-break space as whitespace.
21371 * mail/rmailsum.el (rmail-summary-rmail-update):
21372 Detect empty summary and don't change selected message.
21373 (rmail-summary-goto-msg): Likewise.
21375 * mail/rmailsum.el (rmail-new-summary, rmail-new-summary-1):
21376 Doc fixes, rename args.
21378 2013-05-05 Alan Mackenzie <acm@muc.de>
21380 * progmodes/cc-defs.el (c-version): Increment to 5.32.5.
21382 2013-05-05 Juri Linkov <juri@jurta.org>
21384 * info.el (Info-read-subfile): Use (point-min) instead of (point)
21385 to not add the length of the summary segment to the return value.
21388 2013-05-05 Leo Liu <sdl.web@gmail.com>
21390 * progmodes/octave.el (inferior-octave-strip-ctrl-g)
21391 (inferior-octave-output-filter): Remove.
21392 (octave-send-region, inferior-octave-startup): Fix callers.
21393 (inferior-octave-mode-map): Don't use comint-dynamic-complete.
21394 (octave-binary-file-extensions): New user variable.
21395 (octave-find-definition): Confirm if opening binary files.
21396 (octave-help-file): Use octave-find-definition to get the binary
21398 (octave-help): Adjust for octave-help-file change.
21400 2013-05-05 Stefan Monnier <monnier@iro.umontreal.ca>
21402 * progmodes/pascal.el (pascal-font-lock-keywords): Use backquotes.
21403 Merge the two entries that handle function definitions.
21404 (pascal--syntax-propertize): New const.
21405 (pascal-mode): Use it. Use setq-local.
21407 2013-05-04 Glenn Morris <rgm@gnu.org>
21409 * calendar/diary-lib.el (diary-from-outlook-function): New variable.
21410 (diary-from-outlook): Respect diary-from-outlook-function.
21412 2013-05-04 Stefan Monnier <monnier@iro.umontreal.ca>
21414 * simple.el (read-expression-map): Use completion-at-point (bug#14255).
21415 Move the declaration from C.
21416 (read-minibuffer, eval-minibuffer): Move from C.
21417 (completion-setup-function): Avoid minibuffer-completion-contents.
21419 2013-05-03 Leo Liu <sdl.web@gmail.com>
21421 * progmodes/octave.el (octave-font-lock-keywords): Do not
21422 dehighlight 'end' in comments or strings.
21423 (octave-completing-read, octave-goto-function-definition):
21425 (octave-help-buffer): New user variable.
21426 (octave-help-file, octave-help-function): New button types.
21427 (octave-help): New command and bind it to C-h ;.
21428 (octave-find-definition): New command and bind it to M-.
21429 (user-error): Alias to error if not defined.
21431 2013-05-02 Leo Liu <sdl.web@gmail.com>
21433 * progmodes/octave.el (octave-mode-syntax-table): Correct syntax
21435 (octave-font-lock-keywords): Include [ and {.
21437 2013-05-02 Leo Liu <sdl.web@gmail.com>
21439 * progmodes/octave.el (inferior-octave-startup-file): Change default.
21440 (inferior-octave): Remove calling comint-mode and return the buffer.
21441 (inferior-octave-startup): Cosmetic changes.
21443 2013-05-02 Leo Liu <sdl.web@gmail.com>
21445 * progmodes/octave.el (octave-syntax-propertize-function):
21446 Include the case when ' is at line beginning. (Bug#14336)
21448 2013-05-02 Glenn Morris <rgm@gnu.org>
21450 * vc/vc-dir.el (vc-dir-mode): Don't autoload it for everyone.
21451 * desktop.el (vc-dir-mode): Just autoload it here.
21453 2013-05-02 Alan Mackenzie <acm@muc.de>
21455 Eliminate variable c-standard-font-lock-fontify-region-function.
21456 * progmodes/cc-mode.el
21457 (c-standard-font-lock-fontify-region-function): Remove.
21458 (c-font-lock-fontify-region, c-after-font-lock-init): Adapt.
21460 2013-05-01 Leo Liu <sdl.web@gmail.com>
21462 * progmodes/octave.el: Compatible with older emacs-24 releases.
21463 (inferior-octave-has-built-in-variables): Remove. Built-in
21464 variables were removed from Octave in 2007.
21465 (inferior-octave-startup): Fix uses.
21466 (comint-line-beginning-position): Remove compatibility code for
21469 2013-05-01 Juri Linkov <juri@jurta.org>
21471 * isearch.el (isearch-forward, isearch-mode): Doc fix. (Bug#13923)
21473 2013-05-01 Juri Linkov <juri@jurta.org>
21475 * comint.el (comint-previous-matching-input): Don't print message
21476 "History item: %d" when `isearch-mode' is active.
21477 (comint-history-isearch-message): Print message "History item: %d"
21478 when `comint-input-ring-index' is not empty and this function is
21479 called from `isearch-update' with a nil `ellipsis'. (Bug#13223)
21481 2013-05-01 Leo Liu <sdl.web@gmail.com>
21483 * progmodes/octave.el (octave-abbrev-table): Remove abbrev
21484 definitions. Use completion-at-point to insert keywords.
21485 (octave-abbrev-start): Remove.
21486 (inferior-octave-mode, octave-mode): Use :abbrev-table instead.
21488 2013-04-30 Leo Liu <sdl.web@gmail.com>
21490 * progmodes/octave.el (inferior-octave-prompt-read-only): Fix last
21493 2013-04-30 Alan Mackenzie <acm@muc.de>
21495 Handle arbitrarily long C++ member initialisation lists.
21496 * progmodes/cc-engine.el (c-back-over-member-initializers):
21498 (c-guess-basic-syntax): New CASE 5R (extracted from 5B) to handle
21499 (most) member init lists.
21501 2013-04-30 Rüdiger Sonderfeld <ruediger@c-plusplus.de>
21503 * progmodes/octave.el (inferior-octave-prompt-read-only): New user
21506 2013-04-30 Leo Liu <sdl.web@gmail.com>
21508 * progmodes/octave.el (octave-variables): Remove. No builtin
21509 variables any more. All converted to functions.
21510 (octave-font-lock-keywords, octave-completion-at-point-function):
21512 (octave-font-lock-texinfo-comment): New user variable.
21513 (octave-texinfo-font-lock-keywords): New variable for texinfo
21515 (octave-function-comment-block): New face.
21516 (octave-font-lock-texinfo-comment): New function.
21517 (octave-mode): Font lock texinfo comment block.
21519 2013-04-29 Leo Liu <sdl.web@gmail.com>
21521 * progmodes/octave.el (octave-font-lock-keywords): Handle 'end' in
21522 indexing expression.
21523 (octave-continuation-string): Do not use \.
21524 (inferior-octave-complete-impossible): Remove.
21525 (inferior-octave-completion-table)
21526 (inferior-octave-completion-at-point): Remove its uses.
21527 (inferior-octave-startup): completion_matches was introduced to
21528 Octave in 1996 so safe to assume it.
21529 (octave-function-file-comment): Improve to follow how Octave does it.
21530 (octave-update-function-file-comment): Tweak.
21532 2013-04-29 Leo Liu <sdl.web@gmail.com>
21534 * progmodes/octave.el (inferior-octave-startup-hook): Obsolete.
21535 (inferior-octave-startup): Remove inferior-octave-startup-hook.
21536 (octave-function-file-comment): Fix typo.
21537 (octave-sync-function-file-names): Use read-char-choice.
21539 2013-04-28 Jay Belanger <jay.p.belanger@gmail.com>
21541 * calc/calc.el (math-normalize): Don't set `math-normalize-error'
21542 to t for the less important warnings.
21544 2013-04-27 Darren Hoo <darren.hoo@gmail.com> (tiny change)
21546 * isearch.el (isearch-fail-pos): Check for empty `cmds'. (Bug#14268)
21548 2013-04-27 Glenn Morris <rgm@gnu.org>
21550 * vc/log-view.el (log-view-current-entry):
21551 Treat "---" separator lines as part of the following rev. (Bug#14169)
21553 2013-04-27 Juri Linkov <juri@jurta.org>
21555 * subr.el (read-number): Doc fix about using it by interactive
21556 code letter `n'. (Bug#14254)
21558 2013-04-27 Juri Linkov <juri@jurta.org>
21560 * desktop.el (desktop-auto-save-timeout): New option.
21561 (desktop-file-checksum): New variable.
21562 (desktop-save): Add optional arg `auto-save' and don't auto-save
21563 if nothing changed.
21564 (desktop-auto-save-timer): New variable.
21565 (desktop-auto-save, desktop-auto-save-set-timer): New functions.
21566 (after-init-hook): Call `desktop-auto-save-set-timer'.
21567 Suggested by Reuben Thomas <rrt@sc3d.org> in
21568 <http://lists.gnu.org/archive/html/emacs-devel/2013-04/msg00327.html>.
21570 2013-04-27 Leo Liu <sdl.web@gmail.com>
21572 * progmodes/octave.el (octave-function-file-p)
21573 (octave-skip-comment-forward, octave-function-file-comment)
21574 (octave-update-function-file-comment): New functions.
21575 (octave-mode-map): Bind C-c ; to
21576 octave-update-function-file-comment.
21577 (octave-mode-menu): Add octave-update-function-file-comment.
21578 (octave-mode, inferior-octave-mode): Fix doc-string.
21579 (octave-insert-defun): Conform to Octave's coding convention.
21582 * files.el (basic-save-buffer): Don't let errors in
21583 before-save-hook prevent saving buffer.
21585 2013-04-20 Roland Winkler <winkler@gnu.org>
21587 * faces.el (read-face-name): Use completing-read if arg multiple
21590 2013-04-27 Ingo Lohmar <i.lohmar@gmail.com> (tiny change)
21592 * ls-lisp.el (ls-lisp-insert-directory): If no files are
21593 displayed, move point to after the totals line.
21594 See http://lists.gnu.org/archive/html/emacs-devel/2013-04/msg00677.html
21597 2013-04-27 Stefan Monnier <monnier@iro.umontreal.ca>
21599 * emacs-lisp/package.el (package-autoload-ensure-default-file):
21600 Add current dir to the load-path.
21601 (package-generate-autoloads): Don't rely on
21602 autoload-ensure-default-file.
21604 2013-04-26 Reuben Thomas <rrt@sc3d.org>
21606 * textmodes/remember.el (remember-store-in-files): Document that
21607 the file name format is passed to `format-time-string'.
21609 2013-04-26 Leo Liu <sdl.web@gmail.com>
21611 * progmodes/octave.el (octave-sync-function-file-names): New function.
21612 (octave-mode): Use it in before-save-hook.
21614 2013-04-26 Stefan Monnier <monnier@iro.umontreal.ca>
21616 * emacs-lisp/tabulated-list.el (tabulated-list-mode): Disable undo
21619 * progmodes/octave.el (octave-smie-forward-token): Properly skip
21620 \n and comment, even if it's not an implicit ; (bug#14218).
21622 2013-04-26 Glenn Morris <rgm@gnu.org>
21624 * subr.el (read-number): Once more use `read' rather than
21625 `string-to-number', to trap non-numeric input. (Bug#14254)
21627 2013-04-26 Erik Charlebois <erikcharlebois@gmail.com>
21629 * emacs-lisp/syntax.el (syntax-propertize-multiline):
21630 Use `syntax-multiline' text property consistently instead of
21631 `font-lock-multiline'. (Bug#14237)
21633 2013-04-26 Glenn Morris <rgm@gnu.org>
21635 * emacs-lisp/shadow.el (list-load-path-shadows):
21636 No longer necessary to check for duplicate simple.el, since
21637 2012-07-07 change to init_lread to not include installation lisp
21638 directories in load-path when running uninstalled. (Bug#14270)
21640 2013-04-26 Leo Liu <sdl.web@gmail.com>
21642 * progmodes/octave.el (octave-submit-bug-report): Obsolete.
21643 (octave-mode, inferior-octave-mode): Use setq-local.
21644 (octave-not-in-string-or-comment-p): Rename to
21645 octave-in-string-or-comment-p.
21646 (octave-in-comment-p, octave-in-string-p)
21647 (octave-in-string-or-comment-p): Replace defsubst with defun.
21649 2013-04-25 Paul Eggert <eggert@cs.ucla.edu>
21651 * Makefile.in (distclean): Remove $(lisp)/loaddefs.el~.
21653 2013-04-25 Bastien Guerry <bzg@gnu.org>
21655 * textmodes/remember.el (remember-data-directory)
21656 (remember-directory-file-name-format): Fix custom types.
21658 2013-04-25 Leo Liu <sdl.web@gmail.com>
21660 * progmodes/octave.el (octave-completion-at-point-function):
21661 Make use of inferior octave process.
21662 (octave-initialize-completions): Remove.
21663 (inferior-octave-completion-table): New function.
21664 (inferior-octave-completion-at-point): Use it.
21665 (octave-completion-alist): Remove.
21667 2013-04-25 Stefan Monnier <monnier@iro.umontreal.ca>
21669 * progmodes/opascal.el: Use font-lock and syntax-propertize.
21670 (opascal-mode-syntax-table): New var.
21671 (opascal-literal-kind, opascal-is-literal-end)
21672 (opascal-literal-token-at): Rewrite.
21673 (opascal--literal-start-re, opascal-font-lock-keywords)
21674 (opascal--syntax-propertize): New constants.
21675 (opascal-font-lock-defaults): Adjust.
21676 (opascal-mode): Use them. Set comment-<foo> variables as well.
21677 (delphi-comment-face, opascal-comment-face, delphi-string-face)
21678 (opascal-string-face, delphi-keyword-face, opascal-keyword-face)
21679 (delphi-other-face, opascal-other-face): Remove face variables.
21680 (opascal-save-state): Remove macro.
21681 (opascal-fontifying-progress-step): Remove constant.
21682 (opascal--ignore-changes): Remove var.
21683 (opascal-set-token-property, opascal-parse-next-literal)
21684 (opascal-is-stable-literal, opascal-complete-literal)
21685 (opascal-is-literal-start, opascal-face-of)
21686 (opascal-parse-region, opascal-parse-region-until-stable)
21687 (opascal-fontify-region, opascal-after-change)
21688 (opascal-debug-show-is-stable, opascal-debug-unparse-buffer)
21689 (opascal-debug-parse-region, opascal-debug-parse-window)
21690 (opascal-debug-parse-buffer, opascal-debug-fontify-window)
21691 (opascal-debug-fontify-buffer): Remove.
21692 (opascal-debug-mode-map): Adjust accordingly.
21694 2013-04-25 Leo Liu <sdl.web@gmail.com>
21696 Merge octave-mod.el and octave-inf.el into octave.el with some
21698 * progmodes/octave.el: New file renamed from octave-mod.el.
21699 * progmodes/octave-inf.el: Merged into octave.el.
21700 * progmodes/octave-mod.el: Renamed to octave.el.
21702 2013-04-25 Tassilo Horn <tsdh@gnu.org>
21704 * textmodes/reftex-vars.el
21705 (reftex-label-ignored-macros-and-environments): New defcustom.
21707 * textmodes/reftex-parse.el (reftex-parse-from-file): Use it.
21709 2013-04-25 Stefan Monnier <monnier@iro.umontreal.ca>
21711 * emacs-lisp/smie.el (smie-indent--hanging-p): Don't burp at EOB.
21712 (smie-indent-keyword): Improve the check to ensure that the next
21713 comment is really on the same line.
21714 (smie-indent-comment): Don't align with a subsequent closer (or eob).
21716 * progmodes/octave-mod.el (octave-smie-forward-token): Only emit
21717 semi-colons if the line is not otherwise empty (bug#14218).
21719 2013-04-25 Glenn Morris <rgm@gnu.org>
21721 * vc/vc-bzr.el (vc-bzr-print-log): Tweak LIMIT = 1 case.
21723 2013-04-24 Stefan Monnier <monnier@iro.umontreal.ca>
21725 * progmodes/opascal.el (opascal-set-token-property): Rename from
21726 opascal-set-text-properties and only set `token' (bug#14134).
21727 Suggested by Erik Knowles <eknowles@geosystemsoftware.com>.
21728 (opascal-literal-text-properties): Remove.
21729 (opascal-parse-next-literal, opascal-debug-unparse-buffer):
21732 2013-04-24 Reuben Thomas <rrt@sc3d.org>
21734 * textmodes/remember.el (remember-handler-functions): Add an
21735 option for a new handler `remember-store-in-files'.
21736 (remember-data-directory, remember-directory-file-name-format):
21738 (remember-store-in-files): New function to store remember notes
21739 as separate files within a directory.
21741 2013-04-24 Magnus Henoch <magnus.henoch@gmail.com>
21743 * progmodes/compile.el (compilation-next-error-function):
21744 Pass "formats" to compilation-find-file (bug#11777).
21746 2013-04-24 Glenn Morris <rgm@gnu.org>
21748 * vc/vc-bzr.el (vc-bzr-print-log):
21749 * vc/vc-hg.el (vc-hg-print-log):
21750 * vc/vc-svn.el (vc-svn-print-log):
21751 Fix START-REVISION with LIMIT != 1. (Bug#14168)
21753 * vc/vc-bzr.el (vc-bzr-print-log):
21754 * vc/vc-cvs.el (vc-cvs-print-log):
21755 * vc/vc-git.el (vc-git-print-log):
21756 * vc/vc-hg.el (vc-hg-print-log):
21757 * vc/vc-mtn.el (vc-mtn-print-log):
21758 * vc/vc-rcs.el (vc-rcs-print-log):
21759 * vc/vc-sccs.el (vc-sccs-print-log):
21760 * vc/vc-svn.el (vc-svn-print-log):
21761 * vc/vc.el (vc-print-log-internal): Doc fixes.
21763 2013-04-23 Glenn Morris <rgm@gnu.org>
21765 * startup.el (normal-no-mouse-startup-screen, normal-about-screen):
21766 Remove venerable code attempting to avoid substitute-command-keys.
21768 2013-04-23 Tassilo Horn <tsdh@gnu.org>
21770 * textmodes/reftex-vars.el (reftex-label-regexps):
21771 Call `reftex-compile-variables' after changes to this variable.
21773 2013-04-23 Stefan Monnier <monnier@iro.umontreal.ca>
21775 * jit-lock.el: Fix signals in jit-lock-force-redisplay (bug#13542).
21776 Use lexical-binding.
21777 (jit-lock-force-redisplay): Use markers, check buffer's continued
21778 existence and beware narrowed buffers.
21779 (jit-lock-fontify-now): Adjust call accordingly.
21781 2013-04-22 Stefan Monnier <monnier@iro.umontreal.ca>
21783 * minibuffer.el (minibuffer-completion-contents): Fix obsolescence info
21784 to avoid misleading the user.
21786 2013-04-22 Leo Liu <sdl.web@gmail.com>
21788 * info-look.el: Prefer latex2e.info. (Bug#14240)
21790 2013-04-22 Michael Albinus <michael.albinus@gmx.de>
21792 Fix pack/unpack coding. Reported by David Smith <davidsmith@acm.org>.
21794 * net/tramp-compat.el (tramp-compat-call-process): Move function ...
21795 * net/tramp.el (tramp-call-process): ... here.
21796 (tramp-set-completion-function, tramp-parse-putty):
21797 * net/tramp-adb.el (tramp-adb-execute-adb-command):
21798 * net/tramp-gvfs.el (tramp-gvfs-send-command):
21799 * net/tramp-sh.el (tramp-sh-handle-set-file-times)
21800 (tramp-set-file-uid-gid, tramp-sh-handle-write-region)
21801 (tramp-call-local-coding-command): Use `tramp-call-process'
21802 instead of `tramp-compat-call-process'.
21804 * net/tramp-sh.el (tramp-perl-pack, tramp-perl-unpack): New defconst.
21805 (tramp-local-coding-commands, tramp-remote-coding-commands): Use them.
21806 (tramp-sh-handle-file-local-copy, tramp-sh-handle-write-region)
21807 (tramp-find-inline-compress): Improve traces.
21808 (tramp-maybe-send-script): Check for Perl binary.
21809 (tramp-get-inline-coding): Do not redirect STDOUT for local decoding.
21811 2013-04-22 Daiki Ueno <ueno@gnu.org>
21813 * epg.el (epg-context-pinentry-mode): New function.
21814 (epg-context-set-pinentry-mode): New function.
21815 (epg--start): Pass --pinentry-mode option to gpg command.
21817 2013-04-21 Xue Fuqiao <xfq.free@gmail.com>
21819 * comint.el (comint-dynamic-complete-functions, comint-mode-map):
21820 `comint-dynamic-complete' is obsolete since 24.1, replaced by
21821 `completion-at-point'. (Bug#13774)
21823 * startup.el (normal-no-mouse-startup-screen): Bug fix, the
21824 default key binding for `describe-distribution' has been moved to
21825 `C-h C-o'. (Bug#13970)
21827 2013-04-21 Glenn Morris <rgm@gnu.org>
21829 * vc/vc.el (vc-print-log-setup-buttons, vc-print-log-internal):
21831 (vc-print-log): Clarify interactive prompt.
21833 2013-04-20 Glenn Morris <rgm@gnu.org>
21835 * emacs-lisp/bytecomp.el (byte-compile-insert-header):
21836 No longer include timestamp etc information.
21838 2013-04-20 Roland Winkler <winkler@gnu.org>
21840 * faces.el (read-face-name): Bug fix, return just one face if arg
21841 multiple is nil. (Bug#14209)
21843 2013-04-20 Stefan Monnier <monnier@iro.umontreal.ca>
21845 * emacs-lisp/nadvice.el (advice--where-alist): Add :override.
21846 (remove-function): Autoload.
21848 * comint.el (comint-redirect-original-filter-function): Remove.
21849 (comint-redirect-cleanup, comint-redirect-send-command-to-process):
21850 * vc/vc-cvs.el (vc-cvs-annotate-process-filter)
21851 (vc-cvs-annotate-command):
21852 * progmodes/octave-inf.el (inferior-octave-send-list-and-digest):
21853 * progmodes/prolog.el (prolog-consult-compile):
21854 * progmodes/gdb-mi.el (gdb, gdb--check-interpreter):
21855 Use add/remove-function instead.
21856 * progmodes/gud.el (gud-tooltip-original-filter): Remove.
21857 (gud-tooltip-process-output, gud-tooltip-tips):
21858 Use add/remove-function instead.
21859 * progmodes/xscheme.el (xscheme-previous-process-state): Remove.
21860 (scheme-interaction-mode, exit-scheme-interaction-mode):
21861 Use add/remove-function instead.
21863 * vc/vc-dispatcher.el: Use lexical-binding.
21864 (vc--process-sentinel): Rename from vc-process-sentinel.
21865 Change last arg to be the code to run. Don't use vc-previous-sentinel
21866 and vc-sentinel-commands any more.
21867 (vc-exec-after): Allow code to be a function. Use add/remove-function.
21868 (compilation-error-regexp-alist, view-old-buffer-read-only): Declare.
21870 2013-04-19 Masatake YAMATO <yamato@redhat.com>
21872 * progmodes/sh-script.el (sh-imenu-generic-expression):
21873 Handle function names with a single character. (Bug#14111)
21875 2013-04-19 Dima Kogan <dima@secretsauce.net> (tiny change)
21877 * progmodes/gud.el (gud-perldb-marker-filter): Understand position info
21878 for subroutines defined in an eval (bug#14182).
21880 2013-04-19 Thierry Volpiatto <thierry.volpiatto@gmail.com>
21882 * bookmark.el (bookmark-completing-read): Improve handling of empty
21883 string (bug#14176).
21885 2013-04-19 Stefan Monnier <monnier@iro.umontreal.ca>
21887 * vc/vc-dispatcher.el (vc-do-command): Get rid of default sentinel msg.
21889 2013-04-19 Fabián Ezequiel Gallina <fgallina@gnu.org>
21891 New faster Imenu implementation (bug#14058).
21892 * progmodes/python.el (python-imenu-prev-index-position)
21893 (python-imenu-format-item-label-function)
21894 (python-imenu-format-parent-item-label-function)
21895 (python-imenu-format-parent-item-jump-label-function):
21897 (python-imenu-format-item-label)
21898 (python-imenu-format-parent-item-label)
21899 (python-imenu-format-parent-item-jump-label)
21900 (python-imenu--put-parent, python-imenu--build-tree)
21901 (python-imenu-create-index, python-imenu-create-flat-index)
21902 (python-util-popn): New functions.
21903 (python-mode): Set imenu-create-index-function to
21904 python-imenu-create-index.
21906 2013-04-18 Stefan Monnier <monnier@iro.umontreal.ca>
21908 * winner.el (winner-active-region): Use region-active-p, activate-mark
21909 and deactivate-mark (bug#14225).
21911 * simple.el (deactivate-mark): Don't inline it.
21913 2013-04-18 Michael Albinus <michael.albinus@gmx.de>
21915 * net/tramp-sh.el (tramp-remote-process-environment): Add "TMOUT=0".
21917 2013-04-18 Tassilo Horn <tsdh@gnu.org>
21919 * files.el (auto-mode-alist): Delete OpenDocument and StarOffice
21920 file extensions from the archive-mode entry in order to prefer
21921 doc-view-mode-maybe with archive-mode as fallback (bug#14188).
21923 2013-04-18 Leo Liu <sdl.web@gmail.com>
21925 * bindings.el (help-event-list): Add ?\?.
21927 2013-04-18 Stefan Monnier <monnier@iro.umontreal.ca>
21929 * subr.el (with-wrapper-hook): Declare obsolete.
21930 * simple.el (filter-buffer-substring-function): New hook.
21931 (filter-buffer-substring): Use it.
21932 (filter-buffer-substring-functions): Mark obsolete.
21933 * minibuffer.el (completion-in-region-function): New hook.
21934 (completion-in-region): Use it.
21935 (completion-in-region-functions): Mark obsolete.
21936 * mail/mailabbrev.el (mail-abbrevs-setup): Use abbrev-expand-function.
21937 * abbrev.el (abbrev-expand-function): New hook.
21938 (expand-abbrev): Use it.
21939 (abbrev-expand-functions): Mark obsolete.
21940 * emacs-lisp/nadvice.el (advice--where-alist): Add :filter-args
21941 and :filter-return.
21943 2013-04-17 Fabián Ezequiel Gallina <fgallina@gnu.org>
21945 * progmodes/python.el (python-nav--syntactically): Fix cornercases
21946 and do not care about match data.
21948 2013-04-17 Stefan Monnier <monnier@iro.umontreal.ca>
21950 * emacs-lisp/lisp.el (lisp-completion-at-point): Provide specialized
21951 completion tables when completing error conditions and
21952 `declare' arguments.
21953 (lisp-complete-symbol, field-complete): Mark as obsolete.
21954 (check-parens): Unmatched parens are user errors.
21955 * minibuffer.el (minibuffer-completion-contents): Mark as obsolete.
21957 2013-04-17 Michal Nazarewicz <mina86@mina86.com>
21959 * textmodes/flyspell.el (flyspell-check-pre-word-p): Return nil if
21960 command changed buffer (ie. `flyspell-pre-buffer' is not current
21961 buffer), which prevents making decisions based on invalid value of
21962 `flyspell-pre-point' in the wrong buffer. Most notably, this used to
21963 cause an error when `flyspell-pre-point' was nil after switching
21965 (flyspell-post-command-hook): No longer needs to change buffers when
21966 checking pre-word. While at it remove unnecessary progn.
21968 2013-04-17 Nicolas Richard <theonewiththeevillook@yahoo.fr> (tiny change)
21970 * textmodes/ispell.el (ispell-add-per-file-word-list):
21971 Fix `flyspell-correct-word-before-point' error when accepting
21972 words and `coment-padding' is an integer by using
21973 `comment-normalize-vars' (Bug #14214).
21975 2013-04-17 Fabián Ezequiel Gallina <fgallina@gnu.org>
21977 New defun movement commands.
21978 * progmodes/python.el (python-nav--syntactically)
21979 (python-nav--forward-defun, python-nav-backward-defun)
21980 (python-nav-forward-defun): New functions.
21982 2013-04-17 Fabián Ezequiel Gallina <fgallina@gnu.org>
21984 * progmodes/python.el (python-syntax--context-compiler-macro): New defun.
21985 (python-syntax-context): Use named compiler-macro for backwards
21986 compatibility with Emacs 24.x.
21988 2013-04-17 Leo Liu <sdl.web@gmail.com>
21990 * progmodes/octave-mod.el (octave-mode-map): Fix key binding to
21991 octave-hide-process-buffer.
21993 2013-04-17 Stefan Monnier <monnier@iro.umontreal.ca>
21995 * vc/vc-hg.el (vc-hg-annotate-re): Disallow ": " in file names
21998 2013-04-17 Jean-Philippe Gravel <jpgravel@gmail.com>
22000 * progmodes/gdb-mi.el (gdbmi-bnf-incomplete-record-result):
22001 Fix adjustment of offset when receiving incomplete responses from GDB
22004 2013-04-16 Stefan Monnier <monnier@iro.umontreal.ca>
22006 * progmodes/python.el (python-mode-skeleton-abbrev-table): Rename from
22007 python-mode-abbrev-table.
22008 (python-skeleton-define): Adjust accordingly.
22009 (python-mode-abbrev-table): New table that inherits from it so that
22010 python-skeleton-autoinsert does not affect non-skeleton abbrevs.
22012 * abbrev.el (abbrev--symbol): New function, extracted from abbrev-symbol.
22013 (abbrev-symbol): Use it.
22014 (abbrev--before-point): Use it since we already handle inheritance.
22016 2013-04-16 Leo Liu <sdl.web@gmail.com>
22018 * progmodes/octave-mod.el (octave-mode-map): Remove redundant key
22019 binding to info-lookup-symbol.
22021 2013-04-16 Juanma Barranquero <lekktu@gmail.com>
22023 * minibuffer.el (completion--twq-all):
22024 * term/ns-win.el (ns-initialize-window-system):
22025 * term/w32-win.el (w32-initialize-window-system): Silence byte-compiler.
22027 2013-04-16 Stefan Monnier <monnier@iro.umontreal.ca>
22029 * emacs-lisp/nadvice.el (add-function): Default simple vars to their
22032 * doc-view.el (doc-view-start-process): Handle url-handler directories.
22034 2013-04-15 Dmitry Gutov <dgutov@yandex.ru>
22036 * progmodes/ruby-mode.el (ruby-beginning-of-defun)
22037 (ruby-end-of-defun, ruby-move-to-block): Bind `case-fold-search'
22039 (ruby-end-of-defun): Remove the unused arg, change the docstring
22040 to reflect that this function is only used as the value of
22041 `end-of-defun-function'.
22042 (ruby-beginning-of-defun): Remove "top-level" from the docstring,
22043 to reflect an earlier change that beginning/end-of-defun functions
22044 jump between methods in a class definition, as well as top-level
22047 2013-04-15 Stefan Monnier <monnier@iro.umontreal.ca>
22049 * minibuffer.el (minibuffer-complete): Don't just scroll
22050 a *Completions* that's been iconified.
22051 (minibuffer-force-complete): Make sure repetitions do cycle when going
22052 through completion-in-region -> minibuffer-complete.
22054 2013-04-15 Alan Mackenzie <acm@muc.de>
22056 Correct the placement of c-cpp-delimiters when there're #s not at
22059 * progmodes/cc-langs.el (c-anchored-cpp-prefix): Reformulate and
22060 place a submatch around the #.
22061 * progmodes/cc-mode.el(c-neutralize-syntax-in-and-mark-CPP):
22062 Start a search at BOL. Put the c-cpp-delimiter category text propertiy
22065 2013-04-15 Stefan Monnier <monnier@iro.umontreal.ca>
22067 * emacs-lisp/nadvice.el: Properly test names when adding advice.
22068 (advice--member-p): New arg `name'.
22069 (advice--add-function, advice-member-p): Use it (bug#14202).
22071 2013-04-15 Filipp Gunbin <fgunbin@fastmail.fm>
22073 Reformulate java imenu-generic-expression.
22074 The old expression contained ill formed regexps.
22076 * progmodes/cc-menus.el (cc-imenu-java-ellipsis-regexp)
22077 (cc-imenu-java-type-spec-regexp, cc-imenu-java-comment-regexp)
22078 (cc-imenu-java-method-arg-regexp): New defconsts.
22079 (cc-imenu-java-build-type-args-regex): New defun.
22080 (cc-imenu-java-generic-expression): Fix, to remove "ambiguous"
22081 handling of spaces in the regexp.
22083 2013-03-15 Agustín Martín Domingo <agustin.martin@hispalinux.es>
22085 * textmodes/ispell.el (ispell-command-loop): Remove
22086 flyspell highlight of a word when ispell accepts it (bug #14178).
22088 2013-04-15 Michael Albinus <michael.albinus@gmx.de>
22090 * net/ange-ftp.el (ange-ftp-run-real-handler-orig): New defun,
22091 uses code from the previous `ange-ftp-run-real-handler'.
22092 (ange-ftp-run-real-handler): Set it to `tramp-run-real-handler'
22093 only in case that function exist. This is needed for proper
22094 unloading of Tramp.
22096 2013-04-15 Tassilo Horn <tsdh@gnu.org>
22098 * textmodes/reftex-vars.el (reftex-label-regexps): New defcustom.
22100 * textmodes/reftex.el (reftex-compile-variables): Use it.
22102 2013-04-14 Stefan Monnier <monnier@iro.umontreal.ca>
22104 * files.el (normal-mode): Only use default major-mode if no other mode
22107 * emacs-lisp/trace.el (trace-values): New function.
22109 * files.el: Allow : in local variables (bug#14089).
22110 (hack-local-variable-regexp): New var.
22111 (hack-local-variables-prop-line, hack-local-variables): Use it.
22113 2013-04-13 Roland Winkler <winkler@gnu.org>
22115 * textmodes/bibtex.el (bibtex-search-entries): Bug fix. Use match
22116 data before it gets modified by bibtex-beginning-of-entry.
22118 2013-04-13 Roland Winkler <winkler@gnu.org>
22120 * textmodes/bibtex.el (bibtex-url): Doc fix.
22122 2013-04-13 Roland Winkler <winkler@gnu.org>
22124 * textmodes/bibtex.el (bibtex-initialize): If the current buffer
22125 does not visit a BibTeX file, exclude it from the list of buffers
22126 returned by bibtex-initialize.
22128 2013-04-13 Stephen Berman <stephen.berman@gmx.net>
22130 * window.el (split-window): Remove interactive form, since as a
22131 command this function is a special case of split-window-below.
22132 Correct doc string.
22134 2013-04-12 Roland Winkler <winkler@gnu.org>
22136 * faces.el (read-face-name): Do not override value of arg default.
22137 Allow single faces and strings as default values. Remove those
22138 elements from return value that are not faces.
22139 (describe-face): Simplify.
22140 (face-at-point): New optional args thing and multiple so that this
22141 function can provide the same functionality previously provided by
22143 (make-face-bold, make-face-unbold, make-face-italic)
22144 (make-face-unitalic, make-face-bold-italic, invert-face)
22145 (modify-face, read-face-and-attribute): Use face-at-point.
22147 * cus-edit.el (customize-face, customize-face-other-window)
22148 * cus-theme.el (custom-theme-add-face)
22149 * face-remap.el (buffer-face-set)
22150 * facemenu.el (facemenu-set-face): Use face-at-point.
22152 2013-04-12 Michael Albinus <michael.albinus@gmx.de>
22154 * info.el (Info-file-list-for-emacs): Add "tramp" and "dbus".
22156 2013-04-10 Tassilo Horn <tsdh@gnu.org>
22158 * textmodes/reftex-cite.el (reftex-parse-bibtex-entry): Don't cut
22159 off leading { and trailing } from field values.
22161 2013-04-10 Stefan Monnier <monnier@iro.umontreal.ca>
22163 * emacs-lisp/timer.el (timer--check): New function.
22164 (timer--time, timer-set-function, timer-event-handler): Use it.
22165 (timer-set-idle-time): Simplify.
22166 (timer--activate): CSE.
22167 (timer-event-handler): Give more info in error message.
22168 (internal-timer-start-idle): New function, moved from C.
22170 * mpc.el (mpc-proc): Add `restart' argument.
22171 (mpc-proc-cmd): Use it.
22172 (mpc--status-timer-run): Also catch signals from `mpc-proc'.
22173 (mpc-status-buffer-show, mpc-tagbrowser-dir-toggle): Call `mpc-proc'
22176 2013-04-10 Masatake YAMATO <yamato@redhat.com>
22178 * progmodes/sh-script.el: Implement `sh-mode' own
22179 `add-log-current-defun-function' (bug#14112).
22180 (sh-current-defun-name): New function.
22181 (sh-mode): Use the function.
22183 2013-04-09 Bastien Guerry <bzg@gnu.org>
22185 * simple.el (choose-completion-string): Fix docstring (bug#14163).
22187 2013-04-08 Stefan Monnier <monnier@iro.umontreal.ca>
22189 * emacs-lisp/edebug.el (edebug-mode): Fix typo (bug#14144).
22191 * emacs-lisp/timer.el (timer-event-handler): Don't retrigger a canceled
22194 2013-04-07 Nic Ferrier <nferrier@ferrier.me.uk>
22196 * emacs-lisp/ert.el (should, should-not, should-error): Add edebug
22199 2013-04-07 Leo Liu <sdl.web@gmail.com>
22201 * pcmpl-x.el: New file.
22203 2013-04-06 Dmitry Antipov <dmantipov@yandex.ru>
22205 Do not set x-display-name until X connection is established.
22206 This is needed to prevent from weird situation described at
22207 <http://lists.gnu.org/archive/html/emacs-devel/2013-04/msg00212.html>.
22208 * frame.el (make-frame): Set x-display-name after call to
22209 window system initialization function, not before.
22210 * term/x-win.el (x-initialize-window-system): Add optional
22211 display argument and use it.
22212 * term/w32-win.el (w32-initialize-window-system):
22213 * term/ns-win.el (ns-initialize-window-system):
22214 * term/pc-win.el (msdos-initialize-window-system):
22215 Add compatible optional display argument.
22217 2013-04-06 Eli Zaretskii <eliz@gnu.org>
22219 * files.el (normal-backup-enable-predicate): On MS-Windows and
22220 MS-DOS compare truenames of temporary-file-directory and of the
22221 file, so that 8+3 aliases (usually found in $TEMP on Windows)
22222 don't fail comparison by compare-strings. Also, compare file
22223 names case-insensitively on MS-Windows and MS-DOS.
22225 2013-04-05 Stefan Monnier <monnier@iro.umontreal.ca>
22227 * emacs-lisp/package.el (package-compute-transaction): Fix last fix.
22228 Suggested by Donald Curtis <dcurtis@coe.edu> (bug#14082).
22230 2013-04-05 Dmitry Gutov <dgutov@yandex.ru>
22232 * whitespace.el (whitespace-color-on, whitespace-color-off):
22233 Only call `font-lock-fontify-buffer' when `font-lock-mode' is on.
22235 2013-04-05 Jacek Chrząszcz <chrzaszcz@mimuw.edu.pl> (tiny change)
22237 * ispell.el (ispell-set-spellchecker-params):
22238 Really set `ispell-args' for all equivs.
22240 2013-04-05 Stefan Monnier <monnier@iro.umontreal.ca>
22242 * ido.el (ido-completions): Use extra elements of ido-decorations
22244 (ido-decorations): Update docstring.
22246 2013-04-05 Michael Albinus <michael.albinus@gmx.de>
22248 * autorevert.el (auto-revert-mode, auto-revert-tail-mode)
22249 (global-auto-revert-mode): Let-bind `auto-revert-use-notify' to
22250 nil during initialization, in order not to miss changes since the
22251 file was opened. (Bug#14140)
22253 2013-04-05 Leo Liu <sdl.web@gmail.com>
22255 * kmacro.el (kmacro-call-macro): Fix bug#14135.
22257 2013-04-05 Jay Belanger <jay.p.belanger@gmail.com>
22259 * calc/calc-units.el (calc-convert-units): Rewrite conditional.
22261 2013-04-04 Glenn Morris <rgm@gnu.org>
22263 * electric.el (electric-pair-inhibit-predicate): Add :version.
22265 2013-04-04 Stefan Monnier <monnier@iro.umontreal.ca>
22267 * emacs-lisp/package.el (package-compute-transaction): Fix ordering
22268 when a package is required several times (bug#14082).
22270 2013-04-04 Roland Winkler <winkler@gnu.org>
22272 * faces.el (read-face-name): Behave as promised by the docstring.
22273 Assume that arg default is a list of faces.
22274 (describe-face): Call read-face-name with list of default faces.
22276 2013-04-04 Thierry Volpiatto <thierry.volpiatto@gmail.com>
22278 * bookmark.el: Fix deletion of bookmarks (bug#13972).
22279 (bookmark-bmenu-list): Don't toggle filenames if alist is empty.
22280 (bookmark-bmenu-execute-deletions): Only skip first line if it's
22282 (bookmark-exit-hook-internal): Save even if list is empty.
22284 2013-04-04 Yann Hodique <yann.hodique@gmail.com> (tiny change)
22286 * emacs-lisp/package.el (package-pinned-packages): New var.
22287 (package--add-to-archive-contents): Obey it (bug#14118).
22289 2013-04-03 Alan Mackenzie <acm@muc.de>
22291 Handle `parse-partial-sexp' landing inside a comment opener (Bug#13244).
22292 Also adapt to the new values of element 7 of a parse state.
22294 * progmodes/cc-engine.el (c-state-pp-to-literal): New optional
22295 parameter `not-in-delimiter'. Handle being inside comment opener.
22296 (c-invalidate-state-cache-1): Reckon with an extra "invalid"
22297 character in case we're typing a '*' after a '/'.
22298 (c-literal-limits): Handle the awkward "not-in-delimiter" cond arm
22299 instead by passing the parameter to c-state-pp-to-literal.
22301 * progmodes/cc-fonts.el (c-font-lock-doc-comments): New handling
22302 for elt. 7 of a parse state.
22304 2013-04-01 Paul Eggert <eggert@cs.ucla.edu>
22306 Use UTF-8 for most files with non-ASCII characters (Bug#13936).
22307 * international/latin1-disp.el, international/mule-util.el:
22308 * language/cyril-util.el, language/european.el, language/ind-util.el:
22309 * language/lao-util.el, language/thai.el, language/tibet-util.el:
22310 * language/tibetan.el, language/viet-util.el:
22311 Switch from iso-2022-7bit to utf-8 or (if needed) utf-8-emacs.
22313 2013-04-01 Stefan Monnier <monnier@iro.umontreal.ca>
22315 * electric.el (electric-pair-inhibit-predicate): New var (bug#14000).
22316 (electric-pair-post-self-insert-function): Use it.
22317 (electric-pair-default-inhibit): New function, extracted from
22318 electric-pair-post-self-insert-function.
22320 2013-03-31 Roland Winkler <winkler@gnu.org>
22322 * emacs-lisp/crm.el (completing-read-multiple): Doc fix.
22324 2013-03-31 Stefan Monnier <monnier@iro.umontreal.ca>
22326 * hi-lock.el (hi-lock-mode): Cleanup after revert-buffer (bug#13891).
22328 2013-03-30 Fabián Ezequiel Gallina <fabian@anue.biz>
22330 Un-indent after "pass" and "return" statements (Bug#13888)
22331 * progmodes/python.el (python-indent-block-enders): New var.
22332 (python-indent-calculate-indentation): Use it.
22334 2013-03-30 Michael Albinus <michael.albinus@gmx.de>
22336 * net/tramp.el (tramp-drop-volume-letter): Make it an ordinary
22337 defun. Defining it as defalias could introduce too eager
22338 byte-compiler optimization. (Bug#14030)
22340 2013-03-30 Chong Yidong <cyd@gnu.org>
22342 * iswitchb.el (iswitchb-read-buffer): Fix typo.
22344 2013-03-30 Leo Liu <sdl.web@gmail.com>
22346 * kmacro.el (kmacro-call-macro): Add optional arg MACRO.
22347 (kmacro-execute-from-register): Pass the keyboard macro to
22348 kmacro-call-macro or repeating won't work correctly.
22350 2013-03-30 Teodor Zlatanov <tzz@lifelogs.com>
22352 * progmodes/subword.el: Back to using `forward-symbol'.
22354 * subr.el (forward-whitespace, forward-symbol)
22355 (forward-same-syntax): Move from thingatpt.el.
22357 2013-03-29 Leo Liu <sdl.web@gmail.com>
22359 * kmacro.el (kmacro-to-register): New command.
22360 (kmacro-execute-from-register): New function.
22361 (kmacro-keymap): Bind to 'x'. (Bug#14071)
22363 2013-03-29 Stefan Monnier <monnier@iro.umontreal.ca>
22365 * mpc.el: Use defvar-local and setq-local.
22366 (mpc--proc-connect): Connection failures are not bugs.
22367 (mpc-mode-map): `follow-link' only applies to the buffer's content.
22368 (mpc-volume-map): Bind to the up-events.
22370 2013-03-29 Teodor Zlatanov <tzz@lifelogs.com>
22372 * progmodes/subword.el (superword-mode): Use `forward-sexp'
22373 instead of `forward-symbol'.
22375 2013-03-28 Stefan Monnier <monnier@iro.umontreal.ca>
22377 * emacs-lisp/edebug.el (edebug-mode): Make it a minor mode.
22378 (edebug--recursive-edit): Use it.
22379 (edebug-kill-buffer): Don't let-bind kill-buffer-hook.
22380 (edebug-temp-display-freq-count): Don't let-bind buffer-read-only.
22382 2013-03-28 Leo Liu <sdl.web@gmail.com>
22384 * vc/vc-bzr.el (vc-bzr-revert): Don't backup. (Bug#14066)
22386 2013-03-27 Eli Zaretskii <eliz@gnu.org>
22388 * facemenu.el (list-colors-callback): New defvar.
22389 (list-colors-redisplay): New function.
22390 (list-colors-display): Install list-colors-redisplay as the
22391 revert-buffer-function. (Bug#14063)
22393 2013-03-27 Stefan Monnier <monnier@iro.umontreal.ca>
22395 * minibuffer.el (completion-pcm--merge-completions): Make sure prefixes
22396 and suffixes don't overlap (bug#14061).
22398 * case-table.el: Use lexical-binding.
22399 (case-table-get-table): New function.
22400 (get-upcase-table): Use it. Mark as obsolete. Adjust callers.
22402 2013-03-27 Teodor Zlatanov <tzz@lifelogs.com>
22404 * progmodes/subword.el: Add `superword-mode' to do word motion
22405 over symbol_words (parallels and leverages `subword-mode' which
22406 does word motion inside MixedCaseWords).
22408 2013-03-27 Aidan Gauland <aidalgol@no8wireless.co.nz>
22410 * eshell/em-unix.el: Move su and sudo to...
22411 * eshell/em-tramp.el: ...Eshell tramp module.
22413 2013-03-26 Stefan Monnier <monnier@iro.umontreal.ca>
22415 * desktop.el (desktop--v2s): Rename from desktop-internal-v2s.
22416 Change return value to be a sexp. Delay `get-buffer' to after
22417 restoring the desktop (bug#13951).
22419 2013-03-26 Leo Liu <sdl.web@gmail.com>
22421 * register.el: Move semantic tag handling back to
22422 cedet/semantic/senator.el. (Bug#14052)
22424 2013-03-26 Stefan Monnier <monnier@iro.umontreal.ca>
22426 * eshell/em-prompt.el (eshell-emit-prompt): Make sure we can't insert
22427 into the prompt either (bug#13963).
22429 2013-03-25 Stefan Monnier <monnier@iro.umontreal.ca>
22431 * font-lock.el (lisp-font-lock-keywords-2): Don't highlight the "error"
22432 part of "(error-foo)".
22434 2013-03-24 Juri Linkov <juri@jurta.org>
22436 * replace.el (list-matching-lines-prefix-face): New defcustom.
22437 (occur-1): Pass `list-matching-lines-prefix-face' to the function
22438 `occur-engine' if `face-differs-from-default-p' returns t.
22439 (occur-engine): Add `,' inside backquote construct to evaluate
22440 `prefix-face'. Propertize the prefix with the `prefix-face' face.
22441 Pass `prefix-face' to the functions `occur-context-lines' and
22442 `occur-engine-add-prefix'.
22443 (occur-engine-add-prefix, occur-context-lines): Add optional arg
22444 `prefix-face' and propertize the prefix with `prefix-face'.
22447 2013-03-24 Leo Liu <sdl.web@gmail.com>
22449 * nxml/rng-valid.el (rng-validate-while-idle)
22450 (rng-validate-quick-while-idle): Guard against deleted buffer.
22453 * emacs-lisp/edebug.el (edebug-mode): Make sure edebug-kill-buffer
22454 is the last entry in kill-buffer-hook.
22456 * files.el (kill-buffer-hook): Doc fix.
22458 2013-03-23 Dmitry Gutov <dgutov@yandex.ru>
22460 * emacs-lisp/lisp-mode.el (emacs-lisp-docstring-fill-column):
22461 Make it safe-local.
22463 * vc/diff-mode.el (diff-mode-shared-map): Unbind "/" (Bug#14034).
22465 2013-03-23 Leo Liu <sdl.web@gmail.com>
22467 * nxml/nxml-util.el (nxml-with-unmodifying-text-property-changes):
22470 * nxml/rng-valid.el (rng-validate-mode)
22471 (rng-after-change-function, rng-do-some-validation):
22472 * nxml/rng-maint.el (rng-validate-buffer):
22473 * nxml/nxml-rap.el (nxml-tokenize-forward, nxml-ensure-scan-up-to-date):
22474 * nxml/nxml-outln.el (nxml-show-all, nxml-set-outline-state):
22475 * nxml/nxml-mode.el (nxml-mode, nxml-degrade, nxml-after-change)
22476 (nxml-extend-after-change-region): Use with-silent-modifications.
22478 * nxml/rng-nxml.el (rng-set-state-after): Do not let-bind
22481 * nxml/rng-valid.el (rng-validate-while-idle-continue-p)
22482 (rng-next-error-1, rng-previous-error-1): Do not let-bind
22483 timer-idle-list. (Bug#13999)
22485 2013-03-23 Juri Linkov <juri@jurta.org>
22487 * info.el (info-index-match): New face.
22488 (Info-index, Info-apropos-matches): Add a nested subgroup to the
22489 main pattern and add text properties with the new face to matches
22490 in index entries relative to the beginning of the index entry.
22493 2013-03-21 Eric Ludlam <zappo@gnu.org>
22495 * emacs-lisp/eieio-datadebug.el (data-debug/eieio-insert-slots):
22496 Inhibit read only while inserting objects.
22498 2013-03-22 Teodor Zlatanov <tzz@lifelogs.com>
22500 * progmodes/cfengine.el: Update docs to mention
22501 `cfengine-auto-mode'. Use \_> and \_< instead of \> and \< for
22502 symbol motion. Remove "_" from the word syntax.
22504 2013-03-21 Teodor Zlatanov <tzz@lifelogs.com>
22506 * progmodes/cfengine.el (cfengine-common-syntax): Add "_" to word
22507 syntax for both `cfengine2-mode' and `cfengine3-mode'.
22509 2013-03-20 Juri Linkov <juri@jurta.org>
22511 * info.el (Info-next-reference-or-link)
22512 (Info-prev-reference-or-link): New functions.
22513 (Info-next-reference, Info-prev-reference): Use them.
22514 (Info-try-follow-nearest-node): Handle footnote navigation.
22515 (Info-fontify-node): Fontify footnotes. (Bug#13989)
22517 2013-03-20 Stefan Monnier <monnier@iro.umontreal.ca>
22519 * subr.el (posn-point, posn-string): Fix it here instead (bug#13979).
22520 * mouse.el (mouse-on-link-p): Undo scroll-bar fix.
22522 2013-03-20 Paul Eggert <eggert@cs.ucla.edu>
22524 Suppress unnecessary non-ASCII chatter during build process.
22525 * international/ja-dic-cnv.el (skkdic-collect-okuri-nasi)
22526 (batch-skkdic-convert): Suppress most of the chatter.
22527 It's not needed so much now that machines are faster,
22528 and its non-ASCII component was confusing; see Dmitry Gutov in
22529 <http://lists.gnu.org/archive/html/emacs-devel/2013-03/msg00508.html>.
22531 2013-03-20 Leo Liu <sdl.web@gmail.com>
22533 * ido.el (ido-chop): Fix bug#10994.
22535 2013-03-19 Dmitry Gutov <dgutov@yandex.ru>
22537 * whitespace.el (whitespace-font-lock, whitespace-font-lock-mode):
22539 (whitespace-color-on, whitespace-color-off):
22540 Use `font-lock-fontify-buffer' (Bug#13817).
22542 2013-03-19 Stefan Monnier <monnier@iro.umontreal.ca>
22544 * mouse.el (mouse--down-1-maybe-follows-link): Fix follow-link
22545 remapping in mode-line.
22546 (mouse-on-link-p): Also check [mode-line follow-link] bindings.
22548 2013-03-19 Dmitry Gutov <dgutov@yandex.ru>
22550 * whitespace.el (whitespace-color-on): Use `prepend' OVERRIDE
22551 value for `whitespace-line' face (Bug#13875).
22552 (whitespace-font-lock-keywords): Change description.
22553 (whitespace-color-on): Don't save `font-lock-keywords' value, save
22554 the constructed keywords instead.
22555 (whitespace-color-off): Use `font-lock-remove-keywords' (Bug#13817).
22557 2013-03-19 Leo Liu <sdl.web@gmail.com>
22559 * progmodes/compile.el (compilation-display-error): New command.
22560 (compilation-mode-map, compilation-minor-mode-map): Bind it to
22563 2013-03-18 Paul Eggert <eggert@cs.ucla.edu>
22565 * term/x-win.el (x-keysym-pair): Add a Fixme (Bug#13936).
22567 2013-03-18 Jan Djärv <jan.h.d@swipnet.se>
22569 * mouse.el (mouse-on-link-p): Check for scroll bar (Bug#13979).
22571 2013-03-18 Michael Albinus <michael.albinus@gmx.de>
22573 * net/tramp-compat.el (tramp-compat-user-error): New defun.
22575 * net/tramp-adb.el (tramp-adb-handle-shell-command):
22576 * net/tramp-gvfs.el (top):
22577 * net/tramp.el (tramp-find-method, tramp-dissect-file-name)
22578 (tramp-handle-shell-command): Use it.
22579 (tramp-dissect-file-name): Raise an error when hostname is a
22580 method name, and neither method nor user is specified.
22582 * net/trampver.el: Update release number.
22584 2013-03-18 Leo Liu <sdl.web@gmail.com>
22586 Make sure eldoc can be turned off properly.
22587 * emacs-lisp/eldoc.el (eldoc-schedule-timer): Conditionalize on
22589 (eldoc-display-message-p): Revert last change.
22590 (eldoc-display-message-no-interference-p)
22591 (eldoc-print-current-symbol-info): Tweak.
22593 2013-03-18 Tassilo Horn <tsdh@gnu.org>
22595 * doc-view.el (doc-view-new-window-function): Check the new window
22596 overlay's display property instead the char property of the
22597 buffer's first char. Use `with-selected-window' instead of
22598 `save-window-excursion' with `select-window'.
22599 (doc-view-document->bitmap): Check the current doc-view overlay's
22600 display property instead the char property of the buffer's first char.
22602 2013-03-18 Paul Eggert <eggert@cs.ucla.edu>
22604 Automate the build of ja-dic.el (Bug#13984).
22605 * international/ja-dic-cnv.el (skkdic-convert): Remove the annotations
22606 from the input, rather than assume that it's been done for us by the
22607 SKK script unannotate.awk. Switch ja-dic.el to UTF-8. Don't put
22608 the current date into a ja-dic.el comment, as that complicates
22609 regression testing.
22611 2013-03-18 Stefan Monnier <monnier@iro.umontreal.ca>
22613 * whitespace.el: Fix double evaluation.
22614 (whitespace-space, whitespace-hspace, whitespace-tab)
22615 (whitespace-newline, whitespace-trailing, whitespace-line)
22616 (whitespace-space-before-tab, whitespace-indentation)
22617 (whitespace-empty, whitespace-space-after-tab): Turn defcustoms into
22619 (whitespace-hspace-regexp): Fix regexp for emacs-unicode.
22620 (whitespace-color-on): Use a single font-lock-add-keywords call.
22621 Fix double-evaluation of face variables.
22623 2013-03-17 Michael Albinus <michael.albinus@gmx.de>
22625 * net/tramp-adb.el (tramp-adb-parse-device-names):
22626 Use `start-process' instead of `call-process'. Otherwise, the
22627 function might be blocked under MS Windows. (Bug#13299)
22629 2013-03-17 Leo Liu <sdl.web@gmail.com>
22631 Extend eldoc to display info in the mode-line. (Bug#13978)
22632 * emacs-lisp/eldoc.el (eldoc-post-insert-mode): New minor mode.
22633 (eldoc-mode-line-string): New variable.
22634 (eldoc-minibuffer-message): New function.
22635 (eldoc-message-function): New variable.
22636 (eldoc-message): Use it.
22637 (eldoc-display-message-p)
22638 (eldoc-display-message-no-interference-p):
22639 Support eldoc-post-insert-mode.
22641 * simple.el (eval-expression-minibuffer-setup-hook): New hook.
22642 (eval-expression): Run it.
22644 2013-03-17 Roland Winkler <winkler@gnu.org>
22646 * emacs-lisp/crm.el (completing-read-multiple): Ignore empty
22647 strings in the list of return values.
22649 2013-03-17 Jay Belanger <jay.p.belanger@gmail.com>
22651 * calc/calc-ext.el (math-read-number-fancy): Check for an explicit
22652 radix before checking for HMS forms.
22654 2013-03-16 Leo Liu <sdl.web@gmail.com>
22656 * progmodes/scheme.el: Add indentation and font-locking for λ.
22659 2013-03-16 Stefan Monnier <monnier@iro.umontreal.ca>
22661 * emacs-lisp/smie.el (smie-auto-fill): Don't inf-loop if there's no
22662 token before point (bug#13942).
22664 2013-03-16 Leo Liu <sdl.web@gmail.com>
22666 * thingatpt.el (end-of-sexp): Fix bug#13952. Use syntax-after.
22668 2013-03-16 Eli Zaretskii <eliz@gnu.org>
22670 * startup.el (command-line-normalize-file-name): Fix handling of
22671 backslashes in DOS and Windows file names. Reported by Xue Fuqiao
22672 <xfq.free@gmail.com> in
22673 http://lists.gnu.org/archive/html/help-gnu-emacs/2013-03/msg00245.html.
22675 2013-03-15 Michael Albinus <michael.albinus@gmx.de>
22677 Sync with Tramp 2.2.7.
22679 * net/trampver.el: Update release number.
22681 2013-03-14 Tassilo Horn <tsdh@gnu.org>
22683 * doc-view.el: Fix bug#13887.
22684 (doc-view-insert-image): Don't modify overlay associated to
22685 non-live windows, and implement horizontal centering of image in
22686 case it's smaller than the window.
22687 (doc-view-new-window-function): Force redisplay of new windows on
22690 2013-03-13 Karl Fogel <kfogel@red-bean.com>
22692 * saveplace.el (save-place-alist-to-file): Don't sort
22693 `save-place-alist', just pretty-print it (bug#13882).
22695 2013-03-13 Michael Albinus <michael.albinus@gmx.de>
22697 * net/tramp-sh.el (tramp-sh-handle-insert-directory):
22698 Check whether `default-file-name-coding-system' is bound.
22699 It isn't in XEmacs.
22701 2013-03-13 Stefan Monnier <monnier@iro.umontreal.ca>
22703 * emacs-lisp/byte-run.el (defun-declarations-alist): Don't use
22704 backquotes for `obsolete' (bug#13929).
22706 * international/mule.el (find-auto-coding): Include file name in
22707 obsolescence warning (bug#13922).
22709 2013-03-12 Teodor Zlatanov <tzz@lifelogs.com>
22711 * progmodes/cfengine.el (cfengine-parameters-indent): New variable
22712 for CFEngine 3-specific indentation.
22713 (cfengine3-indent-line): Use it. Fix up category regex.
22714 (cfengine3-font-lock-keywords): Add bundle and namespace characters.
22716 2013-03-12 Stefan Monnier <monnier@iro.umontreal.ca>
22718 * type-break.el (type-break-file-name):
22719 * textmodes/remember.el (remember-data-file):
22720 * strokes.el (strokes-file):
22721 * shadowfile.el (shadow-initialize):
22722 * saveplace.el (save-place-file):
22723 * ps-bdf.el (bdf-cache-file):
22724 * progmodes/idlwave.el (idlwave-config-directory):
22725 * net/quickurl.el (quickurl-url-file):
22726 * international/kkc.el (kkc-init-file-name):
22727 * ido.el (ido-save-directory-list-file):
22728 * emulation/viper.el (viper-custom-file-name):
22729 * emulation/vip.el (vip-startup-file):
22730 * calendar/todo-mode.el (todo-file-do, todo-file-done, todo-file-top):
22731 * calendar/timeclock.el (timeclock-file): Use locate-user-emacs-file.
22733 2013-03-12 Paul Eggert <eggert@cs.ucla.edu>
22735 Switch encodings of tutorials, thai-word to UTF-8 (Bug#13880).
22736 * language/thai-word.el: Switch to UTF-8.
22738 See ChangeLog.16 for earlier changes.
22740 ;; Local Variables:
22744 Copyright (C) 2011-2014 Free Software Foundation, Inc.
22746 This file is part of GNU Emacs.
22748 GNU Emacs is free software: you can redistribute it and/or modify
22749 it under the terms of the GNU General Public License as published by
22750 the Free Software Foundation, either version 3 of the License, or
22751 (at your option) any later version.
22753 GNU Emacs is distributed in the hope that it will be useful,
22754 but WITHOUT ANY WARRANTY; without even the implied warranty of
22755 MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
22756 GNU General Public License for more details.
22758 You should have received a copy of the GNU General Public License
22759 along with GNU Emacs. If not, see <http://www.gnu.org/licenses/>.