1 2015-01-10 Daniel Colascione <dancol@dancol.org>
3 * vc/vc-hooks.el (vc-prefix-map): Bind vc-delete-file to C-x v x,
6 2015-01-09 Daniel Colascione <dancol@dancol.org>
8 * progmodes/js.el (js--function-heading-1-re)
9 (js--function-prologue-beginning): Parse ES6 generator function
10 declarations. (That is, "function* name()").
12 2015-01-08 Stefan Monnier <monnier@iro.umontreal.ca>
14 * emacs-lisp/eieio.el (defclass): Move from eieio-defclass all the code
15 that creates functions, and most of the sanity checks.
16 Mark as obsolete the <class>-child-p function.
17 * emacs-lisp/eieio-core.el (eieio--define-field-accessors): Remove.
18 (eieio--class, eieio--object): Use cl-defstruct.
19 (eieio--object-num-slots): Define manually.
20 (eieio-defclass-autoload): Use eieio--class-make.
21 (eieio-defclass-internal): Rename from eieio-defclass. Move all the
22 `(lambda...) definitions and most of the sanity checks to `defclass'.
23 Mark as obsolete the <class>-list-p function, the <class> variable and
24 the <initarg> variables. Use pcase-dolist.
25 (eieio-defclass): New compatibility function.
26 * emacs-lisp/eieio-opt.el (eieio-build-class-alist)
27 (eieio-class-speedbar): Don't use eieio-default-superclass var.
29 2015-01-08 Stefan Monnier <monnier@iro.umontreal.ca>
31 * emacs-lisp/eieio-generic.el: New file.
32 * emacs-lisp/eieio-core.el: Move all generic function code to
34 (eieio--defmethod): Declare.
36 * emacs-lisp/eieio.el: Require eieio-generic. Move all generic
37 function code to eieio-generic.el.
38 * emacs-lisp/eieio-opt.el (eieio-help-generic): Move to
40 * emacs-lisp/eieio-datadebug.el (eieio-debug-methodinvoke): Update call
41 to eieio--generic-call.
42 * emacs-lisp/eieio-base.el (eieio-instance-inheritor): Don't use
45 2015-01-08 Stefan Monnier <monnier@iro.umontreal.ca>
47 * emacs-lisp/chart.el (chart-add-sequence, chart-bar-quickie):
48 Don't use <class> as a variable.
50 * emacs-lisp/eieio.el (same-class-p): Accept class object as well.
51 (call-next-method): Simplify.
52 (clone): Obey eieio-backward-compatibility.
54 * emacs-lisp/eieio-opt.el (eieio-read-generic-p): Remove.
55 (eieio-read-generic): Use `generic-p' instead.
57 * emacs-lisp/eieio-core.el (eieio-backward-compatibility): New var.
58 (eieio-defclass-autoload): Obey it.
59 (eieio--class-object): Improve error behavior.
60 (eieio-class-children-fast, same-class-fast-p): Remove. Inline at
62 (eieio--defgeneric-form-primary-only): Rename from
63 eieio-defgeneric-form-primary-only; update all callers.
64 (eieio--defgeneric-form-primary-only-one): Rename from
65 eieio-defgeneric-form-primary-only-one; update all callers.
66 (eieio-defgeneric-reset-generic-form)
67 (eieio-defgeneric-reset-generic-form-primary-only)
68 (eieio-defgeneric-reset-generic-form-primary-only-one): Remove.
69 (eieio--method-optimize-primary): New function to replace them.
70 (eieio--defmethod, eieio-defmethod): Use it.
71 (eieio--perform-slot-validation): Rename from
72 eieio-perform-slot-validation; update all callers.
73 (eieio--validate-slot-value): Rename from eieio-validate-slot-value.
74 Change `class' to be a class object. Update all callers.
75 (eieio--validate-class-slot-value): Rename from
76 eieio-validate-class-slot-value. Change `class' to be a class object.
78 (eieio-oset-default): Accept class object as well.
79 (eieio--generic-call-primary-only): Rename from
80 eieio-generic-call-primary-only. Update all callers.
82 * emacs-lisp/eieio-base.el (eieio-persistent-validate/fix-slot-value):
83 Improve error messages.
84 (eieio-persistent-slot-type-is-class-p): Handle `list-of' types, as
85 well as user-defined types. Emit errors for legacy types like
86 <class>-child and <class>-list, if not eieio-backward-compatibility.
88 2015-01-08 Stefan Monnier <monnier@iro.umontreal.ca>
90 * emacs-lisp/eieio.el (eieio-class-parents): Accept class objects.
91 (eieio--class-slot-initarg): Rename from class-slot-initarg.
92 Change `class' arg to be a class object. Update all callers.
93 (call-next-method): Adjust to new return value of `eieio-generic-form'.
94 (eieio-default-superclass): Set var to the class object.
95 (eieio-edebug-prin1-to-string): Fix recursive call for lists.
96 Change print behavior to affect class objects rather than
99 * emacs-lisp/eieio-core.el (eieio-class-object): New function.
100 (eieio-class-parents-fast): Remove macro.
101 (eieio--class-option-assoc): Rename from class-option-assoc.
103 (eieio--class-option): Rename from class-option. Change `class' arg to
104 be a class object. Update all callers.
105 (eieio--class-method-invocation-order): Rename from
106 class-method-invocation-order. Change `class' arg to be a class
107 object. Update all callers.
108 (eieio-defclass-autoload, eieio-defclass): Set the `parent' field to
109 a list of class objects rather than names.
110 (eieio-defclass): Remove redundant quotes. Use `eieio-oref-default'
111 for accessors to class allocated slots.
112 (eieio--perform-slot-validation-for-default): Rename from
113 eieio-perform-slot-validation-for-default. Update all callers.
114 (eieio--add-new-slot): Rename from eieio-add-new-slot.
115 Update all callers. Use push.
116 (eieio-copy-parents-into-subclass): Adjust to new content of
117 `parent' field. Use dolist.
118 (eieio-oref): Remove support for providing a class rather than
120 (eieio-oref-default): Prefer class objects over class names.
121 (eieio--slot-originating-class-p): Rename from
122 eieio-slot-originating-class-p. Update all callers. Use `or'.
123 (eieio--slot-name-index): Turn check into assertion.
124 (eieio--class-slot-name-index): Rename from
125 eieio-class-slot-name-index. Change `class' arg to be a class object.
127 (eieio-attribute-to-initarg): Move to eieio-test-persist.el.
128 (eieio--c3-candidate): Rename from eieio-c3-candidate.
130 (eieio--c3-merge-lists): Rename from eieio-c3-merge-lists.
132 (eieio--class-precedence-c3): Rename from eieio-class-precedence-c3.
134 (eieio--class-precedence-dfs): Rename from eieio-class-precedence-dfs.
136 (eieio--class-precedence-bfs): Rename from eieio-class-precedence-bfs.
137 Update all callers. Adjust to new `parent' content.
138 (eieio--class-precedence-list): Rename from -class-precedence-list.
140 (eieio-generic-call): Use autoloadp and autoload-do-load.
141 Slight simplification.
142 (eieio-generic-call, eieio-generic-call-primary-only): Adjust to new
143 return value of `eieio-generic-form'.
144 (eieiomt-add): Index the hashtable with class objects rather than
146 (eieio-generic-form): Accept class objects as well.
148 * emacs-lisp/eieio-base.el (eieio-persistent-convert-list-to-object):
149 Adjust to new convention for eieio-persistent-validate/fix-slot-value.
150 (eieio-persistent-validate/fix-slot-value):
151 Change `class' arg to be a class object. Update all callers.
153 2015-01-08 Stefan Monnier <monnier@iro.umontreal.ca>
155 * emacs-lisp/eieio.el (child-of-class-p): Make it accept class objects
156 additionally to class names.
158 * emacs-lisp/eieio-core.el (eieio--with-scoped-class): Use let-binding.
159 (object): Remove first (constant) slot; rename second to `class-tag'.
160 (eieio--object-class-object, eieio--object-class-name): New funs
161 to replace eieio--object-class.
162 (eieio--class-object, eieio--class-p): New functions.
163 (same-class-fast-p): Make it a defsubst, change its implementation
164 to check the class objects rather than their names.
165 (eieio-object-p): Rewrite.
166 (eieio-defclass): Adjust the object initialization according to the new
168 (eieio--scoped-class): Declare it returns a class object (not a class
169 name any more). Adjust calls accordingly (along with calls to
170 eieio--with-scoped-class).
171 (eieio--slot-name-index): Rename from eieio-slot-name-index and change
172 its class arg to be a class object. Adjust callers accordingly.
173 (eieio-slot-originating-class-p): Make its start-class arg a class
174 object. Adjust all callers.
175 (eieio--initarg-to-attribute): Rename from eieio-initarg-to-attribute.
176 Make its `class' arg a class object. Adjust all callers.
178 * emacs-lisp/eieio-base.el (eieio-persistent-validate/fix-slot-value):
179 Use eieio--slot-name-index rather than eieio-slot-name-index.
181 2015-01-08 Stefan Monnier <monnier@iro.umontreal.ca>
183 * emacs-lisp/eieio.el (make-instance): Simplify by not adding an object
185 (eieio-object-name): Use eieio-object-name-string.
186 (eieio--object-names): New const.
187 (eieio-object-name-string, eieio-object-set-name-string): Re-implement
188 using a hashtable rather than a built-in slot.
189 (eieio-constructor): Rename from `constructor'. Remove `newname' arg.
190 (clone): Don't mess with the object's "name".
192 * emacs-lisp/eieio-custom.el (eieio-widget-test): Remove dummy arg.
193 (eieio-object-value-get): Use eieio-object-set-name-string.
195 * emacs-lisp/eieio-core.el (eieio--defalias): Follow aliases.
196 (eieio--object): Remove `name' field.
197 (eieio-defclass): Adjust to new convention where constructors don't
198 take an "object name" any more.
199 (eieio--defgeneric-init-form, eieio--defmethod): Follow aliases.
200 (eieio-validate-slot-value, eieio-oset-default)
201 (eieio-slot-name-index): Don't hardcode eieio--object-num-slots.
202 (eieio-generic-call-primary-only): Simplify.
204 * emacs-lisp/eieio-base.el (clone) <eieio-instance-inheritor>:
205 Use call-next-method.
206 (eieio-constructor): Rename from `constructor'.
207 (eieio-persistent-convert-list-to-object): Drop objname.
208 (eieio-persistent-validate/fix-slot-value): Don't hardcode
209 eieio--object-num-slots.
210 (eieio-named): Use a normal slot.
211 (slot-missing) <eieio-named>: Remove.
212 (eieio-object-name-string, eieio-object-set-name-string, clone)
213 <eieio-named>: New methods.
215 2015-01-08 Stefan Monnier <monnier@iro.umontreal.ca>
217 * emacs-lisp/eieio-core.el (eieio--class-v): Rename from class-v.
218 (method-*): Add a "eieio--" prefix to those constants.
220 * emacs-lisp/eieio.el: Move edebug specs to the corresponding macro.
222 * emacs-lisp/eieio-speedbar.el: Use lexical-binding.
224 2015-01-08 Stefan Monnier <monnier@iro.umontreal.ca>
226 * emacs-lisp/eieio.el (child-of-class-p): Fix case where `class' is
227 `eieio-default-superclass'.
229 * emacs-lisp/eieio-datadebug.el: Use lexical-binding.
231 * emacs-lisp/eieio-custom.el: Use lexical-binding.
232 (eieio-object-value-to-abstract): Simplify.
234 * emacs-lisp/eieio-opt.el (eieio-build-class-list): Use cl-mapcan.
235 (eieio-build-class-alist): Use dolist.
236 (eieio-all-generic-functions): Adjust to use of hashtables.
238 * emacs-lisp/eieio-core.el (class): Rename field symbol-obarray to
239 symbol-hashtable. It contains a hashtable instead of an obarray.
240 (generic-p): Use symbol property `eieio-method-hashtable' instead of
241 `eieio-method-obarray'.
242 (generic-primary-only-p, generic-primary-only-one-p):
244 (eieio-defclass-autoload-map): Use a hashtable instead of an obarray.
245 (eieio-defclass-autoload, eieio-defclass): Adjust/simplify accordingly.
246 (eieio-class-un-autoload): Use autoload-do-load.
247 (eieio-defclass): Use dolist, cl-pushnew, cl-callf.
248 Use new cl-deftype-satisfies. Adjust to use of hashtables.
249 Don't hardcode the value of eieio--object-num-slots.
250 (eieio-defgeneric-form-primary-only-one): Remove `doc-string' arg.
251 Use a closure rather than a backquoted lambda.
252 (eieio--defmethod): Adjust call accordingly. Set doc-string via the
253 function-documentation property.
254 (eieio-slot-originating-class-p, eieio-slot-name-index)
255 (eieiomt--optimizing-hashtable, eieiomt-install, eieiomt-add)
256 (eieio-generic-form): Adjust to use of hashtables.
257 (eieiomt--sym-optimize): Rename from eieiomt-sym-optimize; take
258 additional class argument.
259 (eieio-generic-call-methodname): Remove, unused.
261 * emacs-lisp/eieio-base.el (eieio-persistent-slot-type-is-class-p):
264 2015-01-08 Eli Zaretskii <eliz@gnu.org>
266 * simple.el (line-move-visual): When converting X pixel coordinate
267 to temporary-goal-column, adjust the value for right-to-left
268 screen lines. This fixes vertical-motion, next/prev-line, etc.
270 2015-01-08 Glenn Morris <rgm@gnu.org>
272 * files.el (file-tree-walk): Remove; of unknown authorship. (Bug#19325)
274 2015-01-07 K. Handa <handa@gnu.org>
276 * international/ccl.el (define-ccl-program): Improve the docstring.
278 2015-01-06 Sam Steingold <sds@gnu.org>
280 * shell.el (shell-display-buffer-actions): Remove,
281 use `display-buffer-alist' instead.
283 2015-01-05 Dmitry Gutov <dgutov@yandex.ru>
285 * progmodes/xref.el (xref--insert-xrefs): Add `help-echo' property
288 2015-01-05 Stefan Monnier <monnier@iro.umontreal.ca>
290 * minibuffer.el (completion-category-defaults): New var.
291 Set unicode-name to use substring completion.
292 (completion-category-defaults): Set it to nil.
294 2015-01-04 Dmitry Gutov <dgutov@yandex.ru>
296 Add mouse interaction to xref.
297 * progmodes/xref.el (xref--button-map): New variable.
298 (xref--mouse-2): New command.
299 (xref--insert-xrefs): Add `mouse-face' and `keymap' properties to
300 the inserted references.
302 2015-01-04 Paul Eggert <eggert@cs.ucla.edu>
304 Less 'make' chatter for lisp dir
305 * Makefile.in (THEFILE): Define to be 'no-such-file' by default,
306 to make it clearer that the caller must specify it.
307 (compile-onefile): Remove, replacing by ...
308 ($(THEFILE)c): ... new rule. This lets us use AM_V_GEN here.
309 ($(THEFILE)c, .el.elc, $(MH_E_DIR)/mh-loaddefs.el)
310 ($(TRAMP_DIR)/tramp-loaddefs.el, $(CAL_DIR)/cal-loaddefs.el)
311 ($(CAL_DIR)/diary-loaddefs.el, $(CAL_DIR)/hol-loaddefs.el):
312 Use AM_V_GEN to lessen 'make' chatter.
313 (.el.elc): Omit duplicate comment.
315 Less 'make' chatter in batch mode
316 * emacs-lisp/autoload.el (autoload-generate-file-autoloads):
317 * emacs-lisp/bytecomp.el (byte-compile-file):
318 * files.el (save-buffer, basic-save-buffer):
319 * international/quail.el (quail-update-leim-list-file):
320 Don't output messages like "Generating ..." in batch mode.
322 2015-01-04 Dmitry Gutov <dgutov@yandex.ru>
324 Unbreak `mouse-action' property in text buttons.
325 * button.el (push-button): Fix regression from 2012-12-06.
327 2015-01-03 Dmitry Gutov <dgutov@yandex.ru>
329 * progmodes/xref.el (xref-marker-stack-empty-p): New function.
331 * menu-bar.el (menu-bar-goto-menu): Use it.
333 2015-01-03 Dmitry Gutov <dgutov@yandex.ru>
335 * progmodes/xref.el (xref--window-configuration): New variable.
336 (xref-show-location-at-point): New command.
337 (xref--restore-window-configuration): New function.
338 (xref-next-line, xref-prev-line): Delegate to
339 `xref-show-location-at-point'.
340 (xref--location-at-point): Don't signal the error.
341 (xref-goto-xref): Do that here instead.
342 (xref--xref-buffer-mode): Add `xref--restore-window-configuration'
343 to `pre-command-hook'.
344 (xref--xref-buffer-mode-map): Don't remap `next-line' and
345 `previous-line'. Additionally bind `xref-next-line' and
346 `xref-prev-line' to `n' and `p' respectively. Bind
347 `xref-show-location-at-point' to `C-o'.
349 2015-01-01 Eli Zaretskii <eliz@gnu.org>
351 * tool-bar.el (tool-bar-local-item)
352 (tool-bar-local-item-from-menu): Call force-mode-line-update to
353 make sure the tool-bar changes show on display.
355 2015-01-01 Michael Albinus <michael.albinus@gmx.de>
357 Sync with Tramp 2.2.11.
359 * net/tramp-compat.el (top): Require cl-macs for Emacs 22.
360 Make an alias for `default-toplevel-value' if it doesn't exist.
362 * net/tramp-smb.el (tramp-smb-handle-copy-directory):
363 Use `tramp-compat-delete-directory'.
365 * net/trampver.el: Update release number.
367 2015-01-01 Filipp Gunbin <fgunbin@fastmail.fm>
369 * autorevert.el (auto-revert-handler): Fix auto-revert-tail-mode
370 for remote files. (Bug#19449)
372 2015-01-01 Simen Heggestøyl <simenheg@gmail.com> (tiny change)
374 * textmodes/css-mode.el (scss-mode): Fix typo (bug#19446).
376 2014-12-31 Paul Eggert <eggert@cs.ucla.edu>
378 Less 'make' chatter in lisp directory
379 * Makefile.in (AM_DEFAULT_VERBOSITY, AM_V_GEN, am__v_GEN_)
380 (am__v_GEN_0, am__v_GEN_1): New macros, from ../src/Makefile.in.
381 (custom-deps, finder-data, autoloads, update-subdirs): Use them.
383 2014-12-31 Filipp Gunbin <fgunbin@fastmail.fm>
385 * info.el (info-display-manual): Limit the completion alternatives
386 to currently visited manuals if prefix argument is non-nil.
388 2014-12-30 Paul Eggert <eggert@cs.ucla.edu>
390 * Makefile.in (semantic): Simplify.
392 2014-12-30 Juri Linkov <juri@linkov.net>
394 * net/eww.el (eww-isearch-next-buffer): New function.
395 (eww-mode): Set multi-isearch-next-buffer-function to it.
397 2014-12-30 Dmitry Gutov <dgutov@yandex.ru>
399 * progmodes/xref.el (xref-find-definitions): Mention "no
400 identifier at point" case in the docstring.
402 * menu-bar.el (menu-bar-goto-uses-etags-p): New function.
403 (menu-bar-goto-menu): Use it to show or hide the `set-tags-name'
404 and `separator-tag-file' items.
406 2014-12-29 Paul Eggert <eggert@cs.ucla.edu>
408 * obsolete/pc-select.el (pc-selection-mode): Use system-type.
409 This is instead of system-name, which is both wrong here and obsolete.
410 * desktop.el (desktop-save-frameset):
411 * dnd.el (dnd-get-local-file-uri):
412 * nxml/rng-uri.el (rng-uri-file-name-1):
413 Prefer (system-name) to system-name, and avoid naming
414 locals 'system-name'.
415 * startup.el (system-name): Now an obsolete variable. (Bug#19438)
417 2014-12-29 Dmitry Gutov <dgutov@yandex.ru>
419 * menu-bar.el (menu-bar-next-tag-other-window)
420 (menu-bar-next-tag): Remove.
422 2014-12-29 K. Handa <handa@gnu.org>
424 * international/mule.el (make-translation-table-from-alist):
425 Accept nil or zero-length vector for FROM and TO.
427 2014-12-29 Lars Ingebrigtsen <larsi@gnus.org>
429 * net/eww.el (eww-mode): Truncate overlong lines for prettier
430 display when resizing.
432 * net/shr.el (shr-width): Default to using the window width when
435 2014-12-29 Dmitry Gutov <dgutov@yandex.ru>
437 Unbreak jumping to an alias's definition.
438 * emacs-lisp/find-func.el (find-function-library): Return a pair
439 (ORIG-FUNCTION . LIBRARY) instead of just its second element.
440 (find-function-noselect): Use it.
441 * progmodes/elisp-mode.el (elisp--xref-identifier-file): Rename to
442 `elisp--xref-identifier-location', incorporate logic from
443 `elisp--xref-find-definitions', use the changed
444 `find-function-library' return value.
446 2014-12-29 Juri Linkov <juri@linkov.net>
448 * comint.el (comint-history-isearch-message): Use field-beginning
449 instead of comint-line-beginning-position - that's more fixes for
450 http://lists.gnu.org/archive/html/emacs-devel/2014-12/msg00305.html
451 (comint-history-isearch-message): Fix args of isearch-message-prefix.
453 2014-12-29 Juri Linkov <juri@linkov.net>
455 * vc/vc-dir.el (vc-dir-display-file): New command (bug#19450).
456 (vc-dir-mode-map): Bind it to "\C-o".
457 (vc-dir-menu-map): Add it to menu.
459 2014-12-29 Dmitry Gutov <dgutov@yandex.ru>
461 * progmodes/etags.el (find-tag-other-window)
462 (find-tag-other-frame, find-tag-regexp, tags-loop-continue)
463 (tags-apropos): Declare obsolete.
465 * menu-bar.el (menu-bar-goto-menu): Replace all but one etags item
468 2014-12-28 Eli Zaretskii <eliz@gnu.org>
470 * international/mule.el (define-coding-system): Fix typos in the
473 2014-12-28 Kenichi Handa <handa@gnu.org>
475 * international/mule.el (define-coding-system): Improve the doc
478 2014-12-28 Ivan Shmakov <ivan@siamics.net>
480 * net/shr.el (shr-tag-table): Fix handling of tbody/header/footer
481 elements in tables (bug#19444).
483 * net/eww.el (eww-handle-link): Fix typo in "up" rel handling
486 2014-12-28 Juri Linkov <juri@linkov.net>
488 * vc/compare-w.el: Require diff-mode for diff faces.
489 (compare-windows-removed, compare-windows-added): New faces
490 inheriting from diff faces.
491 (compare-windows): Define obsolete face alias.
492 (compare-windows-highlight): Replace face `compare-windows' with
493 new faces `compare-windows-added' and `compare-windows-removed'
495 (compare-windows-get-recent-window): Signal an error when
496 no other window is found (bug#19170).
498 2014-12-27 Dmitry Gutov <dgutov@yandex.ru>
500 * progmodes/elisp-mode.el (elisp--xref-identifier-file):
501 Skip features that have no sources.
503 * simple.el (execute-extended-command):
504 When `suggest-key-bindings' is nil, don't.
506 2014-12-27 Fabián Ezequiel Gallina <fgallina@gnu.org>
508 python.el: Native readline completion.
509 * progmodes/python.el (python-shell-completion-native-disabled-interpreters)
510 (python-shell-completion-native-enable)
511 (python-shell-completion-native-output-timeout): New defcustoms.
512 (python-shell-completion-native-interpreter-disabled-p)
513 (python-shell-completion-native-try)
514 (python-shell-completion-native-setup)
515 (python-shell-completion-native-turn-off)
516 (python-shell-completion-native-turn-on)
517 (python-shell-completion-native-turn-on-maybe)
518 (python-shell-completion-native-turn-on-maybe-with-msg)
519 (python-shell-completion-native-toggle): New functions.
520 (python-shell-completion-native-get-completions): New function.
521 (python-shell-completion-at-point): Use it.
523 2014-12-27 Fabián Ezequiel Gallina <fgallina@gnu.org>
525 python.el: Enhance shell user interaction and deprecate
526 python-shell-get-or-create-process.
527 * progmodes/python.el (python-shell-get-process-or-error):
529 (python-shell-with-shell-buffer): Use it.
530 (python-shell-send-string, python-shell-send-region)
531 (python-shell-send-buffer, python-shell-send-defun)
532 (python-shell-send-file, python-shell-switch-to-shell): Use it.
533 Add argument MSG to display user-friendly message when no process
535 (python-shell-switch-to-shell): Call pop-to-buffer with NORECORD.
536 (python-shell-make-comint): Rename argument SHOW from POP. Use
537 display-buffer instead of pop-to-buffer.
538 (run-python): Doc fix. Return process.
539 (python-shell-get-or-create-process): Make obsolete.
541 2014-12-27 Fabián Ezequiel Gallina <fgallina@gnu.org>
543 * progmodes/python.el (python-shell-buffer-substring): Handle
544 cornercase when region sent starts at point-min.
546 2014-12-27 Eli Zaretskii <eliz@gnu.org>
548 * language/misc-lang.el (composition-function-table): Add Syriac
549 characters and also ZWJ/ZWNJ. See
550 http://lists.gnu.org/archive/html/help-gnu-emacs/2014-12/msg00248.html
553 2014-12-27 Fabián Ezequiel Gallina <fgallina@gnu.org>
555 python.el: Fix message when sending region.
556 * progmodes/python.el (python-shell-send-region): Rename argument
557 send-main from nomain. Fix message.
558 (python-shell-send-buffer): Rename argument send-main from arg.
560 python.el: Cleanup temp files even with eval errors.
561 * progmodes/python.el (python-shell-send-file): Make file-name
562 mandatory. Fix temp file removal in the majority of cases.
564 python.el: Handle file encoding for shell.
565 * progmodes/python.el (python-rx-constituents): Add coding-cookie.
566 (python-shell--save-temp-file): Write file with proper encoding.
567 (python-shell-buffer-substring): Add coding cookie for detected
568 encoding to generated content. Fix blank lines when removing
570 (python-shell-send-file): Handle file encoding.
571 (python-info-encoding-from-cookie)
572 (python-info-encoding): New functions.
574 2014-12-27 Michael Albinus <michael.albinus@gmx.de>
576 * net/tramp-sh.el (tramp-do-copy-or-rename-file-out-of-band):
577 Use `tramp-rsh-end-of-line', it ought to be more robust.
579 2014-12-27 Stefan Monnier <monnier@iro.umontreal.ca>
581 * progmodes/js.el (js-syntax-propertize): "return" can't be divided
584 2014-12-27 Michael Albinus <michael.albinus@gmx.de>
586 * net/tramp.el (tramp-read-passwd): Ignore errors from `auth-source-*'.
588 * net/tramp-sh.el (tramp-do-copy-or-rename-file-out-of-band): Use "\n"
589 as end-of-line delimeter for passwords, when running on MS Windows.
591 2014-12-27 Stefan Monnier <monnier@iro.umontreal.ca>
593 * progmodes/sh-script.el (sh-set-shell): Don't change the global value
594 of indent-line-function (bug#19433).
596 2014-12-27 Fabián Ezequiel Gallina <fgallina@gnu.org>
598 Fix line numbers on Python shell.
599 * progmodes/python.el (python-shell--save-temp-file): Do not
600 append coding cookie.
601 (python-shell-send-string): Generalize for
602 python-shell-send-region.
603 (python--use-fake-loc): Delete var.
604 (python-shell-buffer-substring): Cleanup fake-loc logic.
605 (python-shell-send-region): Remove fake-loc logic, simplify.
607 2014-12-27 Fabián Ezequiel Gallina <fgallina@gnu.org>
609 * progmodes/python.el (python-indent-post-self-insert-function):
610 Make colon to re-indent only for dedenters, handling
611 multiline-statements gracefully.
613 2014-12-27 Michael Albinus <michael.albinus@gmx.de>
615 * net/tramp.el (tramp-handle-insert-file-contents):
616 Set `find-file-not-found-functions' in case of errors. (Bug#18623)
618 2014-12-27 Michael Albinus <michael.albinus@gmx.de>
620 * net/tramp-sh.el (tramp-send-command-and-read): New optional
622 (tramp-get-remote-path): Use it.
624 2014-12-27 Stefan Monnier <monnier@iro.umontreal.ca>
626 * subr.el (redisplay-dont-pause): Mark as obsolete.
628 2014-12-27 Michael Albinus <michael.albinus@gmx.de>
630 * net/tramp.el (tramp-error-with-buffer): Call `message' properly.
631 (tramp-accept-process-output): Use nil as argument for
632 `accept-process-output', when there is a gateway prepended.
634 * net/tramp-gw.el (tramp-gw-open-connection): Suppress traces in
636 (tramp-gw-open-connection): Set process coding system 'binary.
637 (tramp-gw-open-network-stream): Handle HTTP error 403.
639 * net/tramp-sh.el (tramp-compute-multi-hops): Suppress traces in
641 (tramp-maybe-open-connection): Set connection property "gateway".
643 2014-12-27 Stefan Monnier <monnier@iro.umontreal.ca>
645 * subr.el (sit-for): Tweak docstring (bug#19381).
647 2014-12-27 Dmitry Gutov <dgutov@yandex.ru>
649 * vc/vc-git.el (vc-git-after-dir-status-stage): Move `up-to-date'
650 stage to after `diff-index' (bug#19386).
652 2014-12-27 João Távora <joaotavora@gmail.com>
654 * textmodes/tex-mode.el (tex-insert-quote): Consider and respect
655 `electric-pair-mode' (bug#19356).
657 2014-12-27 Dmitry Gutov <dgutov@yandex.ru>
659 elisp-xref-find: Don't create buffers eagerly.
661 * progmodes/elisp-mode.el (elisp--identifier-location): Fold back
662 into `elisp--company-location'.
663 (elisp--identifier-completion-table): Rename to
664 `elisp--identifier-completion-table', and do not include just any
665 symbols with a property list.
666 (elisp-completion-at-point): Revert the 2014-12-25 change.
667 (elisp--xref-identifier-file): New function.
668 (elisp--xref-find-definitions): Use it.
670 * emacs-lisp/find-func.el (find-function-library): New function,
671 extracted from `find-function-noselect'.
673 * progmodes/xref.el (xref-elisp-location): New class.
674 (xref-make-elisp-location): New function.
675 (xref-location-marker): New implementation.
677 2014-12-27 Juri Linkov <juri@linkov.net>
679 * minibuffer.el (minibuffer-completion-help):
680 Use shrink-window-if-larger-than-buffer in window-height
681 when temp-buffer-resize-mode is nil.
683 * window.el (with-displayed-buffer-window): Remove window-height
684 from the action alist in the temp-buffer-window-show call
685 when window-height is handled explicitly afterwards (bug#19355).
687 2014-12-27 Juri Linkov <juri@linkov.net>
689 Support subdirectories when saving places in dired.
690 * saveplace.el (toggle-save-place, save-place-to-alist)
691 (save-places-to-alist, save-place-dired-hook):
692 Use dired-current-directory instead of dired-directory (bug#19436).
693 (save-place-dired-hook): Add check for alist to make the new
694 format future-proof to allow other possible formats.
696 2014-12-26 Fabián Ezequiel Gallina <fgallina@gnu.org>
698 python.el: Generate clearer shell buffer names.
699 * progmodes/python.el (python-shell-get-process-name)
700 (python-shell-internal-get-process-name): Use `buffer-name`.
701 (python-shell-internal-get-or-create-process): Simplify.
703 2014-12-26 Dmitry Gutov <dgutov@yandex.ru>
705 Add basic xref apropos implementation to elisp-mode.
707 * progmodes/elisp-mode.el (elisp--xref-find-definitions):
708 Filter out nil results.
709 (elisp--xref-find-apropos): New function.
710 (elisp-xref-find): Use it.
712 * progmodes/xref.el (xref--show-xrefs): Use `user-error'.
714 2014-12-25 Filipp Gunbin <fgunbin@fastmail.fm>
716 * dired-aux.el (dired-maybe-insert-subdir): Make
717 dired-maybe-insert-subdir always skip trivial files.
719 2014-12-25 Helmut Eller <eller.helmut@gmail.com>
720 Dmitry Gutov <dgutov@yandex.ru>
722 Consolidate cross-referencing commands.
724 Move autoloaded bindings for `M-.', `M-,', `C-x 4 .' and
725 `C-x 5 .' from etags.el to xref.el.
727 * progmodes/xref.el: New file.
729 * progmodes/elisp-mode.el (elisp--identifier-types): New variable.
730 (elisp--identifier-location): New function, extracted from
731 `elisp--company-location'.
732 (elisp--company-location): Use it.
733 (elisp--identifier-completion-table): New variable.
734 (elisp-completion-at-point): Use it.
735 (emacs-lisp-mode): Set the local values of `xref-find-function'
736 and `xref-identifier-completion-table-function'.
737 (elisp-xref-find, elisp--xref-find-definitions)
738 (elisp--xref-identifier-completion-table): New functions.
740 * progmodes/etags.el (find-tag-marker-ring): Mark obsolete in
741 favor of `xref--marker-ring'.
742 (tags-lazy-completion-table): Autoload.
743 (tags-reset-tags-tables): Use `xref-clear-marker-stack'.
744 (find-tag-noselect): Use `xref-push-marker-stack'.
745 (pop-tag-mark): Make an alias for `xref-pop-marker-stack'.
746 (etags--xref-limit): New constant.
747 (etags-xref-find, etags--xref-find-definitions): New functions.
749 2014-12-25 Martin Rudalics <rudalics@gmx.at>
751 * cus-start.el (resize-mini-windows): Make it customizable.
753 2014-12-24 Stephen Leake <stephen_leake@stephe-leake.org>
755 * startup.el (fancy-about-text): Change buttons for etc/CONTRIBUTE
756 to (info "(emacs)Contributing"). (Bug#19299)
758 2014-12-24 Martin Rudalics <rudalics@gmx.at>
760 * window.el (mouse-autoselect-window-position-1): New variable.
761 (mouse-autoselect-window-cancel)
762 (mouse-autoselect-window-select, handle-select-window): With
763 delayed autoselection select window only if mouse moves after
766 2014-12-24 Michael Albinus <michael.albinus@gmx.de>
768 * eshell/esh-ext.el (eshell-find-interpreter): Expand relative
769 remote file names. (Bug#18782)
771 2014-12-23 Sam Steingold <sds@gnu.org>
773 * shell.el (shell-display-buffer-actions): New user option.
774 (shell): Pass it to `pop-to-buffer' instead of hard-coding
775 `pop-to-buffer-same-window'.
777 2014-12-23 Stefan Monnier <monnier@iro.umontreal.ca>
779 * progmodes/js.el (js--syntax-propertize-regexp-syntax-table): New var.
780 (js-syntax-propertize-regexp): Use it to recognize "slash in
781 a character class" (bug#19397).
783 2014-12-22 Stefan Monnier <monnier@iro.umontreal.ca>
785 * completion.el: Use post-self-insert-hook (bug#19400).
786 (completion-separator-self-insert-command)
787 (completion-separator-self-insert-autofilling): Remove.
788 (completion-separator-chars): New var.
789 (completion-c-mode-hook, completion-setup-fortran-mode): Use it instead
790 of changing the keymap.
791 (completion--post-self-insert): New function.
792 (dynamic-completion-mode): Use it instead of rebinding keys.
793 (cmpl--completion-string): Rename from completion-string.
794 (add-completion-to-head, delete-completion): Let-bind it explicitly.
796 2014-12-22 Bozhidar Batsov <bozhidar@batsov.com>
798 * progmodes/ruby-mode.el (ruby--string-region): Simplify code
799 by leveraging `syntax-ppss'.
801 2014-12-22 Artur Malabarba <bruce.connor.am@gmail.com>
803 * let-alist.el (let-alist): Use `make-symbol' instead of `gensym'.
805 2014-12-20 Michael Albinus <michael.albinus@gmx.de>
807 * net/tramp-sh.el (tramp-histfile-override): Add :version.
809 2014-12-20 Teodor Zlatanov <tzz@lifelogs.com>
811 * net/tramp-sh.el (tramp-histfile-override): Clarify docstring.
813 2014-12-19 Artur Malabarba <bruce.connor.am@gmail.com>
815 * let-alist.el (let-alist): Enable access to deeper alists by
816 using dots inside the dotted symbols.
818 2014-12-19 Alan Mackenzie <acm@muc.de>
820 Make C++11 uniform init syntax work.
821 New keywords "final" and "override".
822 * progmodes/cc-engine.el (c-back-over-member-initializer-braces):
824 (c-guess-basic-syntax): Set `containing-sex' and `lim' using the
826 * progmodes/cc-fonts.el (c-font-lock-declarations): Check more
827 carefully for "are we at a declarator?" using
828 c-back-over-member-initializers.
829 * progmodes/cc-langs.el (c-type-modifier-kwds): Include "final"
830 and "override" in the C++ value.
832 2014-12-19 Martin Rudalics <rudalics@gmx.at>
834 * textmodes/ispell.el (ispell-command-loop): Don't use `next-window'.
836 2014-12-21 Lars Ingebrigtsen <larsi@gnus.org>
838 * net/nsm.el (nsm-save-host): Don't save the host name twice
841 2014-12-18 Sam Steingold <sds@gnu.org>
843 Keyboard interface (C-f10) to `mouse-buffer-menu' (C-down-mouse-1).
844 * mouse.el (mouse-buffer-menu-map): Extract from `mouse-buffer-menu'.
845 (mouse-buffer-menu): Use `mouse-buffer-menu-map'.
846 * menu-bar.el (menu-bar-buffer-vector): Extract from
847 `menu-bar-update-buffers'.
848 (menu-bar-update-buffers): Use `menu-bar-buffer-vector'.
849 (buffer-menu-open): New user command, bound globally to C-f10,
850 provides a keyboard interface to `mouse-buffer-menu' (C-down-mouse-1).
851 (mouse-buffer-menu-keymap): Use `menu-bar-buffer-vector' to
852 convert the value returned by `mouse-buffer-menu-map' to a list
853 acceptable to `popup-menu' for `buffer-menu-open'.
855 2014-12-18 Artur Malabarba <bruce.connor.am@gmail.com>
857 * let-alist.el (let-alist): Evaluate the `alist' argument only once.
859 2014-12-18 Sam Steingold <sds@gnu.org>
861 * emacs-lisp/package.el: Avoid compilation warning by declaring
862 the `find-library-name' function.
863 (package-activate-1): Fix the `with-demoted-errors' calls:
864 the first argument must be a string literal.
866 2014-12-18 Martin Rudalics <rudalics@gmx.at>
868 Add code for "preserving" window sizes.
869 * dired.el (dired-pop-to-buffer): Call fit-window-to-buffer with
871 (dired-mark-pop-up): Preserve size of window showing marked files.
872 * electric.el (Electric-pop-up-window):
873 * help.el (resize-temp-buffer-window): Call fit-window-to-buffer
874 with `preserve-size' t.
875 * minibuffer.el (minibuffer-completion-help):
876 Use `resize-temp-buffer-window' instead of `fit-window-to-buffer'
877 (Bug#19355). Preserve size of completions window.
878 * register.el (register-preview): Preserve size of register
880 * tmm.el (tmm-add-prompt): Call fit-window-to-buffer
881 with `preserve-size' t (Bug#1291).
882 * window.el (with-displayed-buffer-window): Add calls to
883 `window-preserve-size'.
884 (window-min-pixel-size, window--preservable-size)
885 (window-preserve-size, window-preserved-size)
886 (window--preserve-size, window--min-size-ignore-p): New functions.
887 (window-min-size, window-min-delta, window--resizable)
888 (window--resize-this-window, split-window-below)
889 (split-window-right): Amend doc-string.
890 (window--min-size-1, window-sizable, window--size-fixed-1)
891 (window-size-fixed-p, window--min-delta-1)
892 (frame-windows-min-size, window--max-delta-1, window-resize)
893 (window--resize-child-windows, window--resize-siblings)
894 (enlarge-window, shrink-window, split-window): Handle preserving
896 (adjust-window-trailing-edge): Handle preserving window
897 sizes. Signal user-error instead of an error when there's no
898 window above or below.
899 (window--state-put-2): Handle horizontal scroll bars.
900 (window--display-buffer): Call `preserve-size' if asked for.
901 (display-buffer): Mention `preserve-size' alist member in doc-string.
902 (fit-window-to-buffer): New argument PRESERVE-SIZE.
903 * textmodes/ispell.el (ispell-command-loop): Suppress horizontal
904 scroll bar on ispell's windows. Don't count window lines and
905 don't deal with dedicated windows.
906 (ispell-show-choices, ispell-help): Let `ispell-display-buffer'
907 do the window handling.
908 (ispell-adjusted-window-height, ispell-overlay-window): Remove.
909 (ispell-display-buffer): New function to reuse, create and fit
910 window to ispell's buffers. (Bug#3413)
912 2014-12-18 Dmitry Gutov <dgutov@yandex.ru>
914 * emacs-lisp/package.el (package-activate): Do not re-activate or
915 reload the dependencies (bug#19390).
917 2014-12-18 Stefan Monnier <monnier@iro.umontreal.ca>
919 * progmodes/cc-cmds.el (c-subword-mode): Alias to subword-mode.
921 * progmodes/cc-langs.el (c-mode-menu): Use c-subword-mode.
922 * progmodes/cc-mode.el (subword-mode): Move autoload to cc-cmds.el.
923 (c-mode-base-map): Use c-subword-mode.
925 2014-12-18 Eli Zaretskii <eliz@gnu.org>
927 * international/mule-diag.el (describe-font-internal):
928 Display additional info returned by font-info.
930 * linum.el (linum--face-width): Rename from linum--face-height,
931 and use the new functionality of font-info.
932 (linum-update-window): Use linum--face-width and frame-char-width,
933 instead of approximating with height.
935 2014-12-18 Dmitry Gutov <dgutov@yandex.ru>
937 * vc/vc-svn.el (vc-svn-dir-status-files): Revert the 2014-12-02
938 change (bug#19387). Use `apply' on `vc-dir-command' (bug#19405).
940 * emacs-lisp/package.el (package-activate-1): Add RELOAD argument
942 (package-activate): Call itself on dependencies on PACKAGE with
943 the same FORCE argument. Pass FORCE as RELOAD into
944 `package-activate-1' (bug#19390).
946 2014-12-17 Sam Steingold <sds@gnu.org>
948 * emacs-lisp/package.el (package--list-loaded-files):
949 Handle `(nil ...)' elements in `load-history'.
951 2014-12-17 Teodor Zlatanov <tzz@lifelogs.com>
953 * net/tramp-sh.el (tramp-histfile-override): New variable.
954 (tramp-open-shell, tramp-maybe-open-connection): Use it.
956 2014-12-17 Dmitry Gutov <dgutov@yandex.ru>
958 * vc/vc.el: Improve `dir-status-files' description.
960 * emacs-lisp/package.el (package--list-loaded-files): Don't call
961 file-truename on load-history elements (bug#19390).
963 2014-12-16 Nicolas Petton <petton.nicolas@gmail.com>
965 * emacs-lisp/seq.el: New file.
967 2014-12-16 Stefan Monnier <monnier@iro.umontreal.ca>
969 * jit-lock.el (jit-lock-function): Don't defer if jit-lock-defer-time
970 is 0 and there is no input pending.
972 2014-12-15 Juri Linkov <juri@linkov.net>
974 * replace.el (query-replace-read-from): Use query-replace-compile-replacement
975 only on the return value (bug#19383).
977 2014-12-15 Juri Linkov <juri@linkov.net>
979 * isearch.el (isearch-lazy-highlight-search): Extend the bound of
980 the wrapped search by the length of the search string to be able
981 to lazy-highlight the whole search string at point (bug#19353).
983 2014-12-15 Lars Magne Ingebrigtsen <larsi@gnus.org>
985 * net/shr.el (shr-fold-text): Don't bug out on zero-length text.
987 2014-12-14 Alan Mackenzie <acm@muc.de>
989 * cus-start.el (all): Add fast-but-imprecise-scrolling.
991 2014-12-14 Artur Malabarba <bruce.connor.am@gmail.com>
993 * let-alist.el: Add lexical binding.
995 2014-12-14 Steve Purcell <steve@sanityinc.com> (tiny change)
997 * emacs-lisp/package.el (package-menu-mode): Use an extra column
998 for the "Version" column, to accomodate date-and-time-based
1001 2014-12-14 Cameron Desautels <camdez@gmail.com>
1003 * cus-edit.el (custom-unsaved-options): New function, extracted
1004 from `customize-unsaved'.
1005 (custom-unsaved): Use it.
1006 (custom-prompt-customize-unsaved-options): New function.
1009 2014-12-14 Dmitry Gutov <dgutov@yandex.ru>
1011 * fringe.el (fringe-bitmap-p): Fix 2014-12-05 breakage.
1013 2014-12-14 Dmitry Gutov <dgutov@yandex.ru>
1015 Move ASYNC argument to the `diff' VC command to the fifth
1016 position, for better compatibility with existing third-party code,
1019 * vc/vc.el (vc-diff-internal): Pass `async' argument to the
1020 backend `diff' command in the last position.
1022 * vc/vc-svn.el (vc-svn-diff):
1023 * vc/vc-src.el (vc-src-diff):
1024 * vc/vc-sccs.el (vc-sccs-diff):
1025 * vc/vc-rcs.el (vc-rcs-diff):
1026 * vc/vc-mtn.el (vc-mtn-diff):
1027 * vc/vc-hg.el (vc-hg-diff):
1028 * vc/vc-git.el (vc-git-diff):
1029 * vc/vc-dav.el (vc-dav-diff):
1030 * vc/vc-cvs.el (vc-cvs-diff):
1031 * vc/vc-bzr.el (vc-bzr-diff):
1032 * obsolete/vc-arch.el (vc-arch-diff): Move ASYNC argument to the end.
1034 2014-12-14 Paul Eggert <eggert@cs.ucla.edu>
1036 * emacs-lisp/cconv.el (cconv--analyze-use):
1037 Rename from cconv--analyse-use.
1038 (cconv--analyze-function): Rename from cconv--analyse-function.
1039 (cconv-analyze-form): Rename from cconv-analyse-form.
1041 2014-12-13 Andreas Schwab <schwab@linux-m68k.org>
1043 * net/shr.el (shr-next-link): Don't error out at eob.
1045 2014-12-05 Nicolas Richard <theonewiththeevillook@yahoo.fr>
1047 * isearch.el (isearch-open-necessary-overlays): Open overlay
1048 ending at point (bug#19333).
1050 2014-12-13 Lars Magne Ingebrigtsen <larsi@gnus.org>
1052 * net/shr.el (shr-fold-text): New function.
1053 (shr-show-alt-text, shr-urlify, shr-tag-img): Use it to fold long
1055 (shr-fold-text): Inhibit state from being altered.
1057 * files.el (directory-files-recursively): Really check whether
1059 (directory-name-p): New function.
1060 (directory-files-recursively): Use it.
1062 2014-12-13 Artur Malabarba <bruce.connor.am@gmail.com>
1064 * emacs-lisp/package.el (package--list-loaded-files): New function
1065 to list files in a given directory which correspond to already
1067 (package-activate-1): Reload files given by `package--list-loaded-files'.
1068 Fix bug#10125, bug#18443, and bug#18448.
1070 2014-12-13 Eric S. Raymond <esr@snark.thyrsus.com>
1072 * vc/vc-svn.el (vc-svn-diff): Fix bug #19312.
1074 2014-12-13 Michael Albinus <michael.albinus@gmx.de>
1076 * simple.el (password-word-equivalents): Add "passcode", used for
1077 numeric secrets like PINs or RSA tokens.
1079 2014-12-13 Michael Albinus <michael.albinus@gmx.de>
1081 * net/tramp-sh.el (tramp-get-remote-path): Use a login shell in
1082 order to determine `tramp-own-remote-path'.
1084 2014-12-13 Fabián Ezequiel Gallina <fgallina@gnu.org>
1086 * progmodes/python.el (python-shell-parse-command):
1087 Quote `python-shell-interpreter`. (Bug#19289)
1089 2014-12-12 Stefan Monnier <monnier@iro.umontreal.ca>
1091 * progmodes/python.el (python-indent-line): Use `noindent' in strings.
1092 (python-indent-levels): Document extra value.
1093 (python-indent-calculate-indentation): Return `noindent' in strings.
1094 (python-indent-post-self-insert-function)
1095 (python-indent-calculate-levels): Handle new value.
1097 2014-12-12 Lars Magne Ingebrigtsen <larsi@gnus.org>
1099 * net/network-stream.el (network-stream-open-starttls): No need to
1100 check for the availability of `gnutls-available-p'.
1102 * files.el (directory-files-recursively): Don't follow symlinks to
1105 2014-12-12 Eric S. Raymond <esr@snark.thyrsus.com>
1107 * vc/vc-dav.el, vc/vc-git.el, vc/vc-hg.el, vc/vc-src.el:
1108 * vc/vc.el: latest-on-branch-p is no longer a public method.
1110 * vc/vc.el, vc/vc-hg.el, vc/vc-git.el, vc/vc-hooks.el:
1111 * vc/vc-mtn.el, vc/vc-rcs.el, vc/vc-sccs.el, vc/vc-src.el:
1112 Remove `rollback' method, to be replaced in the future by uncommit.
1114 2014-12-11 Michael Albinus <michael.albinus@gmx.de>
1116 * vc/vc-hg.el (vc-hg-state): Make FILE absolute. Handle the case
1117 that there is empty output.
1119 2014-12-11 Stefan Monnier <monnier@iro.umontreal.ca>
1121 * emacs-lisp/eldoc.el (eldoc-documentation-function): Change default.
1122 (eldoc-mode, eldoc-schedule-timer): Adjust to new default.
1124 2014-12-10 Artur Malabarba <bruce.connor.am@gmail.com>
1126 * let-alist.el: Add new package and macro.
1128 2014-12-10 Eric S. Raymond <esr@snark.thyrsus.com>
1130 * vc/vc-dispatcher.el, vc/vc-hooks.el, vc/vc-rcs.el:
1131 * vc/vc-sccs.el, vc/vc.el: Righteous featurectomy of vc-keep-workfiles,
1132 it's a shoot-self-in-foot archaism. Workfiles are always kept.
1134 2014-12-10 Rasmus Pank Roulund <emacs@pank.eu>
1136 * net/ange-ftp.el (ange-ftp-switches-ok): Disallow flags causing
1137 trouble with ls over ftp. These flags result in ls returning no
1138 output, causing Tramp-breakage. (bug#19192)
1140 2014-12-10 Andreas Schwab <schwab@suse.de>
1142 * files.el (file-tree-walk): Use file-name-as-directory unconditionally.
1144 2014-12-10 Lars Magne Ingebrigtsen <larsi@gnus.org>
1146 * files.el (directory-files-recursively):
1147 Use `file-name-all-completions' instead of `directory-files' for
1150 * net/shr.el (shr-tag-object): Don't bug out on text elements in
1153 2014-12-09 Bozhidar Batsov <bozhidar@batsov.com>
1155 * progmodes/ruby-mode.el (auto-mode-alist): Add .rabl, Berksfile
1157 (ruby-toggle-string-quotes): New command that allows you to quickly
1158 toggle between single-quoted and double-quoted string literals.
1160 2014-12-09 Eric S. Raymond <esr@snark.thyrsus.com>
1162 * vc/vc-src.el (vc-src-do-comand): Prepend -- to file argument
1163 list, avoids problems witt names containing hyphens.
1165 2014-12-09 Wilson Snyder <wsnyder@wsnyder.org>
1167 Sync with upstream verilog-mode revision aa4b777.
1168 * progmodes/verilog-mode.el (verilog-mode-version): Update.
1169 (verilog-auto-end-comment-lines-re, verilog-end-block-ordered-re)
1170 (verilog-set-auto-endcomments): Automatically comment property/
1171 endproperty blocks to match other similar blocks like sequence/
1172 endsequence, function/endfunction, etc. Reported by Alex Reed.
1173 (verilog-set-auto-endcomments): Fix end comments for functions of
1174 type void, etc. Detect the function- or task-name when
1175 auto-commenting blocks that lack an explicit portlist.
1176 Reported by Alex Reed.
1177 (verilog-nameable-item-re): Fix nameable items that can have an
1178 end-identifier to include endchecker, endgroup, endprogram,
1179 endproperty, and endsequence. Reported by Alex Reed.
1180 (verilog-preprocessor-re, verilog-beg-of-statement):
1181 Fix indentation of property/endproperty around pre-processor
1182 directives. Reported by Alex Reed.
1183 (verilog-label-be): When auto-commenting a buffer, consider
1184 auto-comments on all known keywords (not just a subset thereof).
1185 Reported by Alex Reed.
1186 (verilog-beg-of-statement): Fix labeling do-while blocks, bug842.
1187 Reported by Alex Reed.
1188 (verilog-beg-of-statement-1, verilog-at-constraint-p):
1189 Fix hanging with many curly-bracket pairs, bug663.
1190 (verilog-do-indent): Fix electric tab deleting form-feeds.
1191 Note caused by indent-line-to deleting tabls pre 24.5.
1192 (verilog-auto-output, verilog-auto-input, verilog-auto-inout)
1193 (verilog-auto-inout-module, verilog-auto-inout-in): Doc fixes.
1194 (verilog-read-always-signals, verilog-auto-sense-sigs)
1195 (verilog-auto-reset): Fix AUTORESET with always_comb and always_latch,
1196 bug844. Reported by Greg Hilton.
1198 2014-12-09 Alex Reed <acreed4@gmail.com> (tiny change)
1200 * progmodes/verilog-mode.el (verilog-no-indent-begin-re):
1201 Fix `verilog-indent-begin-after-if' nil not honoring 'forever',
1202 'foreach', and 'do' keywords.
1203 (verilog-endcomment-reason-re, verilog-beg-of-statement):
1204 Fix labeling do-while blocks, bug842.
1205 (verilog-backward-token): Fix indenting sensitivity lists with
1206 named events, bug840.
1208 2014-12-09 Reto Zimmermann <reto@gnu.org>
1210 Sync with upstream vhdl mode v3.36.1.
1211 * progmodes/vhdl-mode.el (vhdl-version, vhdl-time-stamp): Update.
1212 (vhdl-compiler-alist): Anchor all error regexps.
1213 (vhdl-compile-use-local-error-regexp): Change default to nil.
1214 (vhdl-asort, vhdl-anot-head-p): Remove.
1215 (vhdl-aput, vhdl-adelete, vhdl-aget): Simplify.
1216 Remove optional argument of vhdl-aget and update all callers.
1217 (vhdl-import-project): Also set `vhdl-compiler'.
1219 2014-12-09 Lars Magne Ingebrigtsen <larsi@gnus.org>
1221 * files.el (find-files): New function.
1223 * net/shr.el (shr-dom-print): Don't print comments.
1224 (shr-tag-svg): Give inline SVG images the right type.
1226 * net/eww.el (eww-update-header-line-format): Mark valid/invalid
1227 certificates in the header line.
1228 (eww-invalid-certificate, eww-valid-certificate): New faces.
1230 2014-12-09 Fabián Ezequiel Gallina <fgallina@gnu.org>
1232 * progmodes/python.el (inferior-python-mode):
1233 Set `comint-prompt-read-only` to `t` only locally.
1235 2014-12-08 Lars Magne Ingebrigtsen <larsi@gnus.org>
1237 * net/nsm.el (nsm-check-protocol): Test for RC4 on `high'.
1238 (nsm-format-certificate): Include more data about the connection.
1239 (nsm-query): Fill the text to that it looks nicer.
1240 (nsm-check-protocol): Also warn if using SSL3 or older.
1242 2014-12-08 Stefan Monnier <monnier@iro.umontreal.ca>
1244 * progmodes/gud.el (gud-gdb-completions): Remove unused var `start'.
1246 * obsolete/gulp.el (gulp-create-m-p-alist): Remove unused var `mnt-tm'.
1248 * net/tramp.el (tramp-handle-make-symbolic-link): Mark unused arg.
1250 * info.el (Info-mode-map): Remove left-over binding.
1252 * emacs-lisp/avl-tree.el: Use lexical-binding and cl-lib.
1253 (avl-tree--root): Remove redundant defsetf.
1255 2014-12-08 Lars Magne Ingebrigtsen <larsi@gnus.org>
1257 * net/nsm.el (network-security-level): Remove the detailed
1258 description, which was already outdated, and refer the users to
1260 (nsm-check-protocol): Check for weak Diffie-Hellman prime bits
1263 2014-12-06 Andrey Kotlarski <m00naticus@gmail.com>
1265 * net/eww.el (eww-buffers-mode): New major mode.
1266 (eww-list-buffers, eww-buffer-select, eww-buffer-show-next)
1267 (eww-buffer-show-previous, eww-buffer-kill, eww-buffer-show):
1268 New commands/functions (bug#19131).
1270 2014-12-08 Lars Magne Ingebrigtsen <larsi@gnus.org>
1272 * net/gnutls.el (gnutls-negotiate): Ignore files found via
1273 'file-name-handler-alist' since the gnutls library can't use those
1276 2014-12-08 Dmitry Gutov <dgutov@yandex.ru>
1278 * vc/vc-hg.el (vc-hg-dir-status-files): Only include ignores files
1279 when FILES is non-nil (bug#19304).
1281 2014-12-08 Eric S. Raymond <esr@snark.thyrsus.com>
1283 * vc/vc-arch.el: Move to obsolete directory so a test framework
1284 won't trip over bit-rot in it. There has been no Arch snapshot
1287 2014-12-07 Lars Magne Ingebrigtsen <larsi@gnus.org>
1289 * net/eww.el (eww-follow-link): Revert prefix behaviour to
1291 (eww-copy-page-url): Add doc string.
1293 2014-12-07 Ivan Shmakov <ivan@siamics.net>
1295 * net/eww.el (eww): Move history recording here...
1296 (eww-browse-url): ... from here (bug#19253).
1298 * net/eww.el (eww-browse-url): Use generate-new-buffer (was:
1299 iterating over possible buffer names.)
1301 2014-12-07 Lars Magne Ingebrigtsen <larsi@gnus.org>
1303 * net/eww.el (eww-reload): Take a prefix to work locally (bug#19086).
1304 (eww-current-buffer): Compilation fix for bug#18550 patch.
1306 2014-12-07 Ivan Shmakov <ivan@siamics.net>
1308 * net/eww.el (eww-list-histories): Restore the history in the
1309 correct buffer (bug#18550).
1311 2014-12-07 Lars Magne Ingebrigtsen <larsi@gnus.org>
1313 * net/eww.el (eww-bookmark-prepare): Display URLs in first by
1314 displaying shortened titles first (bug#16398).
1316 2014-12-07 Tom Willemse <tom@ryuslash.org> (tiny change)
1318 * progmodes/python.el: Recognize docstrings.
1319 (python-docstring-at-p, python-font-lock-syntactic-face-function):
1321 (python-mode): Use them.
1323 2014-12-06 Ulf Jasper <ulf.jasper@web.de>
1325 * net/newst-treeview.el (newsticker--treeview-list-add-item)
1326 (newsticker--treeview-propertize-tag): Bind tree menu to mouse-3.
1327 (newsticker--treeview-create-groups-menu)
1328 (newsticker--treeview-create-tree-menu): Remove.
1329 (newsticker--treeview-tree-open-menu): New.
1330 (newsticker-treeview-tree-click): Pass event to
1331 `newsticker-treeview-tree-do-click'.
1332 (newsticker-treeview-tree-do-click): Open treemenu on mouse-3.
1334 2014-12-05 Juri Linkov <juri@linkov.net>
1336 * comint.el (comint-history-isearch-search)
1337 (comint-history-isearch-wrap): Use field-beginning instead of
1338 comint-line-beginning-position.
1339 (comint-send-input): Go to the end of the field instead of the end
1340 of the line to accept whole multi-line input.
1341 http://lists.gnu.org/archive/html/emacs-devel/2014-12/msg00305.html
1343 2014-12-05 Juri Linkov <juri@linkov.net>
1345 * minibuffer.el (minibuffer-completion-help):
1346 Compare selected-window with minibuffer-window to check whether
1347 completions should be displayed near the minibuffer. (Bug#17809)
1348 http://lists.gnu.org/archive/html/emacs-devel/2014-12/msg00311.html
1350 2014-12-05 Michael Albinus <michael.albinus@gmx.de>
1352 * vc/vc-mtn.el (vc-mtn-root):
1353 * vc/vc-svn.el (vc-svn-registered): Make FILE absolute.
1355 2014-12-05 Stefan Monnier <monnier@iro.umontreal.ca>
1357 * progmodes/sh-script.el (sh-smie-sh-rules): Go back to the beginning
1358 of the whole pipe when indenting an opening keyword after a |.
1359 Generalize this treatment to opening keywords like "while" (bug#18031).
1361 2014-12-05 Stefan Monnier <monnier@iro.umontreal.ca>
1363 * simple.el (newline): Place the hook buffer-locally,
1364 to make sure it's first.
1366 * progmodes/prog-mode.el (prettify-symbols--compose-symbol):
1367 Fix handling of symbols with different syntax at beginning/end or with
1368 symbol rather than word syntax.
1370 2014-12-05 Eli Zaretskii <eliz@gnu.org>
1372 * simple.el (line-move): If noninteractive, call line-move-1, not
1373 forward-line, since the former is compatible with line-move-visual
1374 both in terms of the column to which it moves and the return
1377 2014-12-05 Stefan Monnier <monnier@iro.umontreal.ca>
1379 * vc/ediff-init.el (ediff-odd-p): Remove.
1380 (ediff-background-face): Use cl-oddp instead.
1381 (ediff-buffer-live-p): Make it a defsubst.
1383 * tooltip.el (tooltip-region-active-p): Remove.
1385 * net/shr.el (shr-char-breakable-p, shr-char-kinsoku-bol-p)
1386 (shr-char-kinsoku-eol-p, shr-char-nospace-p): Use define-inline.
1388 * fringe.el (fringe-bitmap-p): Make it a plain function.
1390 * emacs-lisp/eieio-core.el: Prefer inlinable functions over macros.
1391 (class-p, generic-p, eieio-object-p, class-abstract-p):
1392 Make them defsubst, so as to avoid corner case problems where
1393 the arg might be evaluated in the condition-case, or it can't be passed
1394 to higher-order functions like `cl-some'.
1396 2014-12-05 Nicolas Richard <theonewiththeevillook@yahoo.fr>
1398 * wid-edit.el (widget-choose): Let numeric keypad work (bug#19268)
1399 and remove old menu-related code.
1401 2014-12-05 Lars Magne Ingebrigtsen <larsi@gnus.org>
1403 * net/eww.el (eww-display-pdf): Let mailcap determine how to
1404 display PDF files (bug#19270).
1406 2014-12-05 Juri Linkov <juri@linkov.net>
1408 Compare with the most recent window by default.
1409 * vc/compare-w.el (compare-windows-get-window-function): New defcustom.
1410 (compare-windows-get-recent-window)
1411 (compare-windows-get-next-window): New functions.
1412 (compare-windows, compare-windows-sync-default-function):
1413 Use `compare-windows-get-window-function' instead of `next-window'.
1414 (compare-windows): Add diff/match messages with region boundaries.
1417 2014-12-04 Stefan Monnier <monnier@iro.umontreal.ca>
1419 * subr.el (filter): Remove. Use `cl-remove-if-not' or `seq-filter'.
1421 2014-12-04 Lars Magne Ingebrigtsen <larsi@gnus.org>
1423 * net/shr.el (shr--extract-best-source): Ignore non-text children.
1425 2014-12-04 Eli Zaretskii <eliz@gnu.org>
1427 Implement copying of a buffer portion while preserving visual order.
1428 * simple.el (bidi-directional-controls-chars)
1429 (bidi-directional-non-controls-chars): New variables.
1430 (squeeze-bidi-context-1, squeeze-bidi-context)
1431 (line-substring-with-bidi-context)
1432 (buffer-substring-with-bidi-context): New functions.
1434 * files.el (file-tree-walk): Doc fix.
1436 2014-12-04 Rupert Swarbrick <ruperts@broadcom.com> (tiny change)
1437 Rüdiger Sonderfeld <ruediger@c-plusplus.net>
1439 * autoinsert.el (auto-insert-alist): Update C/C++ header and
1440 program support to match more extensions. Replace non-alnum
1441 characters when generating include guards (headers) and check for
1442 more extensions when generating includes (programs)
1445 2014-12-03 Eric S. Raymond <esr@snark.thyrsus.com>
1447 * files.el (file-tree-walk): Fix docstring.
1449 2014-12-03 Karl Fogel <kfogel@red-bean.com>
1451 Fix bug whereby saving files hung in VC hook.
1453 Saving a buffer visiting a file under SVN control would hang if
1454 the remote repository were unreachable, because the VC hooks tried
1455 to run "svn status -u" on the file, where the "-u" tells svn to
1456 get update information from the remote repository.
1457 http://lists.gnu.org/archive/html/emacs-devel/2014-12/msg00174.html
1459 * vc/vc-svn.el (vc-svn-state): Remove optional `localp'
1460 argument and always pass "-v" to "svn status", never "-u".
1462 2014-12-03 Stefan Monnier <monnier@iro.umontreal.ca>
1464 * emacs-lisp/inline.el: Fix up copyright header.
1465 (inline-quote, inline-const-p, inline-const-val, inline-error):
1466 Silence compiler warnings.
1467 (inline-letevals): Fix edebug spec.
1468 (inline--testconst-p): Consider lambda expressions as const-p.
1469 (inline--getconst-val): Use inline--testconst-p.
1471 * minibuffer.el (completion-table-dynamic): Add arg `switch-buffer'
1472 and change default to stay in the minibuffer when called from
1473 the minibuffer (bug#19250).
1474 (lazy-completion-table): Use this new argument to preserve the
1477 * progmodes/elisp-mode.el (elisp--local-variables): Don't burp on
1478 incorrect lexical elements (bug#19250).
1480 2014-12-03 A. N. Other <none@example.com>
1482 * files.el (file-tree-walk): Lisp translation of ANSI ftw(3).
1484 2014-12-02 Glenn Morris <rgm@gnu.org>
1486 * whitespace.el (whitespace-big-indent-regexp): Add :version.
1488 2014-12-02 Eric S. Raymond <esr@snark.thyrsus.com>
1490 * subr.el (filter): New macro. Because it's just silly for a Lisp
1491 not to have this in 2014. And VC needs it.
1493 * vc.el: All backends: API simplification: Abolish dir-status.
1494 It's replaced by dir-status-files.
1496 * vc.el: All backends: API simplification: Remove 4th
1497 'default-state' argument from vc-dir-status files and its backend
1498 methods - no backend method ever set it. It was used only in the
1499 fallback method to to set a default of 'up-to-date, though a
1500 convoluted call chain obscured this.
1502 * vc-hooks.el: Bind vc-delete-file to Ctrl-x v delete.
1504 * vc.el (vc-expand-dirs): Now takes a second BACKEND argument,
1505 improving behavior on directories using multiple file-oriented VCSes.
1507 * vc/vc.el: All backends: API simplification; clear-headers
1508 is no longer a public method. It is now local to the one place
1509 it's used, in the RCS steal-lock method.
1511 2014-12-01 Eric S. Raymond <esr@snark.thyrsus.com>
1513 * vc/vc.el: In all backends: API simplification; could-register
1514 is no longer a public method. (vc-cvs.el still has a private
1517 * vc/vc.el: In all backends: API cleanup; the backend diff method
1518 takes an explicit async flag. This eliminates a particularly ugly
1521 * vc-bzr.el: Restore vc-bzr-state-heuristic as a private method.
1522 VC randomly/unpredictably fails without it; cause not yet established.
1524 2014-12-01 Stefan Monnier <monnier@iro.umontreal.ca>
1526 Merge some of the differences from the standalone CC-mode.
1527 The main change is to only use the `category' text-property only when
1528 available. For that many calls are changed to use c-get-char-property,
1529 c-next-single-property-change, c-sc-scan-lists,
1530 c-sc-parse-partial-sexp, c-unmark-<->-as-paren.
1532 * progmodes/cc-mode.el (c-just-done-before-change): New var.
1533 (c-basic-common-init): Initialize it.
1534 (c-common-init): Only use mode-require-final-newline when available.
1535 (c-before-change): Check and set c-just-done-before-change.
1536 (c-after-change): Re-set c-just-done-before-change.
1537 (c-advise-fl-for-region): New macro.
1538 (lazy-lock-defer-rest-after-change, lazy-lock-defer-line-after-change)
1539 (font-lock-after-change-function, jit-lock-after-change):
1542 * progmodes/cc-langs.el (c-modified-constant): New lang var.
1543 (c-known-type-key): Don't make a list just to throw it away.
1545 * progmodes/cc-engine.el (c-invalidate-state-cache, c-parse-state):
1546 Handle the case where categories are not available.
1547 (c-record-parse-state-state, c-replay-parse-state-state):
1548 Handle marker values.
1549 (c-before-change-check-<>-operators): Look for the `syntax-table'
1550 property rather than for the corresponding `category'.
1551 (c-looking-at-decl-block): Remove unused var
1552 `c-disallow-comma-in-<>-arglists'.
1553 (c-forward-<>-arglist-recur): Remove unused var
1554 `orig-record-found-types'.
1556 * progmodes/cc-defs.el (c-version): Bump up to 5.33.
1557 (c-use-category): New const.
1558 (c-next-single-property-change): New macro.
1559 (c-region-is-active-p): Prefer region-active-p when available.
1560 (c-search-backward-char-property): Fix old min/max typo; probably
1562 (c-mark-<-as-paren, c-mark->-as-paren, c-unmark-<->-as-paren):
1563 Turn them into macros that obey c-use-category.
1564 (c-sc-scan-lists-no-category+1+1, c-sc-scan-lists-no-category+1-1)
1565 (c-sc-scan-lists-no-category-1+1, c-sc-scan-lists-no-category-1-1)
1566 (c-sc-scan-lists, c-sc-parse-partial-sexp)
1567 (c-looking-at-non-alphnumspace): New macros.
1568 (c-sc-parse-partial-sexp-no-category): New function.
1569 (c-emacs-features): Add `category-properties' element.
1571 * progmodes/cc-cmds.el (c-forward-into-nomenclature)
1572 (c-backward-into-nomenclature): Use cc-subword if subword-mode is
1574 (c-beginning-of-defun, c-end-of-defun, c-mark-function)
1575 (c-indent-line-or-region): Use c-region-is-active-p.
1577 * progmodes/cc-bytecomp.el (cc-bytecomp-unbound-variables)
1578 (cc-bytecomp-original-functions, cc-bytecomp-original-properties)
1579 (cc-bytecomp-loaded-files): Re-set each time the file is loaded.
1580 (cc-bytecomp-obsolete-var, cc-bytecomp-ignore-obsolete)
1581 (cc-bytecomp-obsolete-fun): Delete unused functions.
1583 * progmodes/cc-align.el (c-lineup-respect-col-0): New function.
1585 2014-12-01 Lars Magne Ingebrigtsen <larsi@gnus.org>
1587 * net/shr.el (shr-dom-print): Fix up `shr-dom-print' after the
1590 2014-12-01 Stefan Monnier <monnier@iro.umontreal.ca>
1592 * vc/vc.el (vc-find-conflicted-file): Look for conflicted files in the
1593 current "project" rather than just the current directory.
1594 * vc/vc-git.el (vc-git-conflicted-files): Clarify in which directory
1595 the file names make sense.
1597 * vc/smerge-mode.el (smerge-swap): New command.
1599 * vc/diff-mode.el (diff-kill-applied-hunks): New command.
1601 2014-12-01 Ulf Jasper <ulf.jasper@web.de>
1603 * net/newst-treeview.el (newsticker--treeview-item-show):
1604 Check window liveliness before measuring its width.
1606 * net/newst-backend.el (newsticker--get-news-by-url-callback):
1607 Pass correct status to `newsticker--sentinel-work'.
1608 (newsticker--sentinel-work): Use "newsticker--download-error" as
1609 guid in order to prevent multiple "Could not download..."
1610 messages. Fixes bug#19166.
1612 2014-12-01 Ivan Shmakov <ivan@siamics.net>
1614 * net/eww.el (eww-render): Call `eww-after-render-hook' in the
1615 correct buffer (bug#19225).
1617 2014-12-01 Lars Magne Ingebrigtsen <larsi@gnus.org>
1619 * net/nsm.el (network-security-level): Change the default to `medium'.
1621 * net/eww.el (eww): Leave point in a place that doesn't cause
1622 scrolling when displaying "Loading...".
1624 2014-12-01 Eric S. Raymond <esr@snark.thyrsus.com>
1626 * vc/vc.el, vc/vc-cvs.el, vc/vc-rcs.el, vc/vc-svn.el: The 'merge'
1627 backend method of RCS/CVS/SVN is now 'merge-file', to contrast with
1628 'merge-branch'. Prompting for merge revisions is pushed down to
1629 the back ends; this fixes a layering violation that caused bad
1632 * vc/vc.el, vc-hooks.el: All backends: API simplification;
1633 vc-stay-local-p and repository-hostname are no longer public
1634 methods. Only the CVS and SVN backends used these, and the SVN
1635 support was conditioned out because svn status -v is too slow.
1636 The CVS back end retains this machinery and the vc-stay-local
1637 configuration variable now only affects it.
1639 2014-12-01 Stefan Monnier <monnier@iro.umontreal.ca>
1641 * emacs-lisp/inline.el: New file.
1643 2014-12-01 Eric S. Raymond <esr@snark.thyrsus.com>
1645 * vc/vc.el, vc-hooks.el: All backends: API simplification;
1646 vc-state-heuristic is no longer a public method, having been
1647 removed where it is redundant, unnecessary, or known buggy.
1648 This eliminated all backends except CVS. Eliminates bug#7850.
1650 * vc/vc-cvs.el, vc/vc-hooks.el, vc/vc-rcs.el, vc/vc-sccs.el:
1651 Eliminate vc-mistrust-permissions. It was only relevant to the
1652 RCS and SCCS back ends and defaulted to t. Code now always
1653 mistrusts permissions - by actual measurement the effect on
1654 performance is negligible. As a side effect bug#11490 is now
1657 * vc/vc.el, vc-hooks.el: All backends: API simplification;
1658 vc-workfile-unchanged-p is no longer a public method (but the RCS
1659 and SCCS back ends retain it as a private method used in state
1660 computation). This method was redundant with vc-state and usually
1661 implemented as a trivial call to same. Fixes the failure mode
1662 described in bug#694.
1664 * vc/vc.el: All backends: API simplification; init-revision is
1665 gone, and vc-registered functions no longer take an
1666 initial-revision argument.
1668 2014-11-29 Glenn Morris <rgm@gnu.org>
1670 * vc/vc-src.el (vc-src, vc-src-diff-switches)
1671 (vc-src-master-templates): Fix :version tags.
1673 2014-11-29 Paul Rankin <paul@tilk.co> (tiny change)
1675 * outline.el (outline-move-subtree-down): Refactor and improve code.
1677 2014-11-29 Stephen Berman <stephen.berman@gmx.net>
1678 Stefan Monnier <monnier@iro.umontreal.ca>
1680 * outline.el (outline-move-subtree-down): Make sure we can move
1681 forward to find the end of the subtree and the insertion point
1684 2014-11-29 Fabián Ezequiel Gallina <fgallina@gnu.org>
1686 * progmodes/python.el (python-shell-completion-setup-code):
1687 Use __builtin__ module (or builtins in Python 3) and catch all errors
1688 when importing readline and rlcompleter.
1690 2014-11-29 Stephen Berman <stephen.berman@gmx.net>
1692 * calendar/todo-mode.el: Handle calling revert-buffer (bug#19187).
1693 (todo-revert-buffer): New function.
1694 (todo-modes-set-1): Use it as the buffer-local value of
1695 revert-buffer-function.
1697 2014-11-29 Stephen Berman <stephen.berman@gmx.net>
1699 * calendar/todo-mode.el (todo-mode): If called interactively, just
1700 display a message saying to call todo-show to enter Todo mode
1703 2014-11-29 Dmitry Gutov <dgutov@yandex.ru>
1705 * vc/vc-hg.el (vc-hg-dir-status-files): Include ignored files.
1708 * vc/vc-bzr.el (vc-bzr-after-dir-status): Don't skip ignored
1711 2014-11-29 Michael Albinus <michael.albinus@gmx.de>
1713 * textmodes/makeinfo.el (makeinfo-buffer): Make it work also for
1714 remote `buffer-file-name'.
1716 2014-11-29 Leo Liu <sdl.web@gmail.com>
1718 * calendar/diary-lib.el (calendar-mark-1): Fix thinko.
1720 2014-11-29 Fabián Ezequiel Gallina <fgallina@gnu.org>
1722 Set PYTHONUNBUFFERED on shell startup.
1724 * progmodes/python.el (python-shell-unbuffered): New var.
1725 (python-shell-calculate-process-environment): Use it.
1727 2014-11-29 Michael Albinus <michael.albinus@gmx.de>
1729 * net/tramp.el (tramp-action-password): Clean password on subsequent
1730 attempts even if there was no wrong password indication. (Bug#19047)
1732 * net/tramp-sh.el (tramp-get-remote-locale): Return "LC_ALL=C" as
1734 (tramp-open-connection-setup-interactive-shell): No need to check
1735 for nil as `tramp-get-remote-locale' return value.
1737 2014-11-29 Eli Zaretskii <eliz@gnu.org>
1739 * vc/vc-git.el (vc-git-command, vc-git--call):
1740 Bind coding-system-for-read and coding-system-for-write to
1741 vc-git-commits-coding-system.
1742 (vc-git-previous-revision): Use "~1" instead of "^", since the
1743 latter is a special character for MS-Windows system shells.
1745 2014-11-29 Michael Albinus <michael.albinus@gmx.de>
1747 Improve XEmacs compatibility.
1749 * net/tramp.el (tramp-autoload-file-name-handler):
1750 Wrap `temporary-file-directory' by `symbol-value', it doesn't
1752 (tramp-read-passwd): Don't use `with-timeout-suspend' and
1753 `with-timeout-unsuspend' if they don't exist, like in XEmacs.
1754 (tramp-time-less-p, tramp-time-subtract): Remove functions.
1755 (tramp-handle-file-newer-than-file-p, tramp-time-diff):
1756 * net/tramp-adb.el (tramp-adb-ls-output-time-less-p):
1757 * net/tramp-cache.el (tramp-get-file-property):
1758 * net/tramp-smb.el (tramp-smb-handle-insert-directory):
1759 Use `time-less-p' and `time-subtract, respectively.
1761 * net/tramp-adb.el (top): Do not require time-date.el.
1763 * net/tramp-compat.el (top): Require time-date.el for XEmacs.
1765 * net/tramp-sh.el (tramp-open-connection-setup-interactive-shell):
1766 Check, whether `utf-8' is a valid coding system.
1768 2014-11-29 Eli Zaretskii <eliz@gnu.org>
1770 * vc/vc.el (vc-retrieve-tag): Doc fix.
1772 2014-11-28 Stefan Monnier <monnier@iro.umontreal.ca>
1774 * simple.el (execute-extended-command--shorter): Fix the "M-p" case
1777 2014-11-28 Martin Rudalics <rudalics@gmx.at>
1779 Fix two issues around help-window-select. (Bug#11039) (Bug#19012)
1780 * help.el (help-window-old-frame): New variable.
1781 (help-window-select): Default to nil (Bug#11039).
1783 (help-window-setup): When the help window appears on another
1784 frame and `help-window-select' is non-nil, give that frame input
1785 focus too (Bug#19012).
1786 (with-help-window): Store selected frame in
1787 help-window-old-frame.
1789 2014-11-28 Ulf Jasper <ulf.jasper@web.de>
1791 * net/newst-treeview.el (newsticker--treeview-load): Take care of
1792 nil value for `newsticker-groups-filename'.
1794 2014-11-28 Daiki Ueno <ueno@gnu.org>
1796 * epa.el (epa-sign-file, epa-encrypt-file, epa-decrypt-region)
1797 (epa-sign-region, epa-encrypt-region):
1798 Use `epg-context-set-{passphrase,progress}-callback', instead of
1799 `setf'. This partially reverts commit 9e48a95c (bug#19150).
1800 Reported by José A. Romero L.
1802 2014-11-27 Lars Magne Ingebrigtsen <larsi@gnus.org>
1804 * net/eww.el (eww-restore-history):
1805 Bind `inhibit-modification-hooks' instead of `after-change-functions'.
1807 2014-11-27 Ulf Jasper <ulf.jasper@web.de>
1809 * net/newst-backend.el (newsticker--parse-atom-1.0):
1810 Handle embedded (x)html in summary node.
1812 2014-11-27 Sam Steingold <sds@gnu.org>
1814 * menu-bar.el (menu-bar-open): When everything else fails,
1815 use (mouse-menu-bar-map).
1817 2014-11-27 Ulf Jasper <ulf.jasper@web.de>
1819 * net/newst-treeview.el (newsticker-groups-filename):
1820 Change default value to nil. Point out that variable is obsolete in doc
1822 (newsticker--treeview-load): Change wording of the questions the
1823 user is asked when `newsticker-groups-filename' is found to be
1824 used and we offer to read and remove the groups file. (Bug#19165)
1826 2014-11-27 Lars Magne Ingebrigtsen <larsi@gnus.org>
1828 * net/eww.el (eww): Record the new URL immediately, so that if the
1829 HTTP fetch fails, we have the right URL in the buffer.
1830 (eww-process-text-input): Don't shorten the input field if
1831 deleting at the last character (bug#19085).
1832 (eww-restore-history): Inhibit change functions while restoring
1834 (eww-process-text-input): Fix deletion at the start of the field, too.
1835 (eww-mode): Revert mistanken removal of `buffer-disable-undo'.
1836 (eww-process-text-input): Try to keep track of the size more reliably.
1838 * dom.el (dom-pp): New function.
1840 2014-11-27 Eli Zaretskii <eliz@gnu.org>
1842 * vc/vc-bzr.el (vc-bzr-print-log, vc-bzr-expanded-log-entry):
1843 Don't assume --long is the default for "bzr log", always specify
1844 it explicitly, in case the user defined an alias for 'log' that
1845 uses some other format.
1847 2014-11-27 Fabián Ezequiel Gallina <fgallina@gnu.org>
1849 * progmodes/python.el (python-eldoc--get-doc-at-point):
1850 Strip shell output before returning. (bug#18794)
1852 2014-11-27 Dmitry Gutov <dgutov@yandex.ru>
1854 Fix indentation before `!=' and after `+='. Originally reported
1855 in https://github.com/mooz/js2-mode/issues/174.
1856 * progmodes/js.el (js--indent-operator-re): Make assignments and
1857 (in)equality operator a separate case.
1858 (js--continued-expression-p): Escape the second `+' in the regexp.
1860 2014-11-27 Stefan Monnier <monnier@iro.umontreal.ca>
1862 * window.el (handle-select-window): Deactivate shift-region (bug#19003).
1864 2014-11-26 Lars Magne Ingebrigtsen <larsi@gnus.org>
1866 * net/nsm.el (nsm-new-fingerprint-ok-p): Display the certificate
1867 when querying about new certificates.
1869 * net/shr.el (shr-make-table-1): dom.el changes for table rendering.
1871 * dom.el (dom-by-tag): Use `equal' for comparisons so that tags
1873 (dom-elements): Protect against non-text nodes.
1874 (dom-non-text-children): New function.
1876 * net/eww.el (eww-tag-title): Use `dom-text'.
1878 2014-11-26 Sam Steingold <sds@gnu.org>
1880 * textmodes/sgml-mode.el (sgml-validate-command): Pass -utf8 to tidy.
1882 2014-11-26 Lars Magne Ingebrigtsen <larsi@gnus.org>
1884 * net/eww.el (eww-highest-readability): More dom.el fixes.
1886 2014-11-26 Ulf Jasper <ulf.jasper@web.de>
1888 * net/newst-backend.el (newsticker--parse-generic-items):
1889 Take care of UIDs when adding elements to cache.
1891 2014-11-26 Alan Mackenzie <acm@muc.de>
1893 Remove spurious reference to symbol category_properties.
1894 * progmodes/cc-engine.el (c-state-pp-to-literal): Fix here.
1896 2014-11-26 Lars Magne Ingebrigtsen <larsi@gnus.org>
1898 * net/eww.el: Use the new dom.el accessors throughout.
1900 * net/shr.el: Ditto.
1904 2014-11-26 Glenn Morris <rgm@gnu.org>
1906 * arc-mode.el (archive-visit-single-files): Add :version.
1908 2014-11-25 Lars Magne Ingebrigtsen <larsi@gnus.org>
1910 * net/nsm.el (nsm-format-certificate): Don't bug out on missing
1912 (nsm-warnings-ok-p): The new version of this function always
1913 returned nil when everything was OK.
1915 2014-11-25 Teodor Zlatanov <tzz@lifelogs.com>
1917 * net/gnutls.el (gnutls): Set :group to 'comm so it's near NSM.
1919 * net/nsm.el (nsm-check-tls-connection, nsm-save-host)
1920 (nsm-warnings-ok-p): Use `gnutls-peer-status-warning-describe'.
1922 2014-11-20 Nicolas Richard <theonewiththeevillook@yahoo.fr>
1924 * emacs-lisp/byte-run.el (function-put): Match argument names to
1927 2014-11-24 Sam Steingold <sds@gnu.org>
1929 * vc/vc-hooks.el (vc-directory-exclusion-list):
1930 Fix a trivial typo (bug#19171).
1932 2014-11-24 Stefan Monnier <monnier@iro.umontreal.ca>
1934 * vc/vc-hooks.el (vc-state-base-face): Don't override
1937 2014-11-24 Lars Magne Ingebrigtsen <larsi@gnus.org>
1939 * net/eww.el (eww-set-character-encoding): Use `read-coding-system'.
1940 (eww-process-text-input): Inhibit read only so that input fields
1941 don't get shortened (bug#19085).
1943 2014-11-24 Leo Liu <sdl.web@gmail.com>
1945 * emacs-lisp/macroexp.el (macroexp-let2*): New macro.
1947 * window.el (with-temp-buffer-window)
1948 (with-current-buffer-window, with-displayed-buffer-window):
1949 * emacs-lisp/cl-macs.el (cl--compiler-macro-adjoin):
1950 * emacs-lisp/cl-lib.el (substring):
1951 * emacs-lisp/cl-extra.el (cl-getf): Use it.
1953 2014-11-24 Eli Zaretskii <eliz@gnu.org>
1955 * isearch.el (isearch-update): Don't assume
1956 pos-visible-in-window-p will return nil when point is hscrolled
1957 out of view. (Bug#19157)
1959 2014-11-20 Andrey Kotlarski <m00naticus@gmail.com>
1961 * net/eww.el (eww-browse-url): Optionally create new eww buffer.
1962 (eww-follow-link): Follow in new buffer in case of prefix
1963 argument, open externally with double prefix (bug#19130).
1965 2014-11-23 Lars Magne Ingebrigtsen <larsi@gnus.org>
1967 * net/eww.el (eww-display-html): Decode the document-defined charset.
1968 (eww): Pop to the *eww* buffer immediately after executing the
1969 `M-x eww' command to avoid having buffers pop up later.
1970 (eww-display-html): Don't pop the *eww* buffer.
1971 (eww-display-raw): Ditto.
1972 (eww-display-image): Ditto.
1973 (eww-follow-link): Make going to #targets in the page work again.
1975 2014-11-23 Ivan Shmakov <ivan@siamics.net>
1977 * net/eww.el (eww-suggest-uris): New variable.
1978 (eww-suggested-uris): New function.
1979 (eww): Default to URL under point.
1980 (eww-links-at-point): New function.
1982 2014-11-20 Mark Oteiza <mvoteiza@udel.edu> (tiny change)
1984 * net/eww.el (eww-add-bookmark): Fix bookmark titles.
1986 2014-11-17 Mark Oteiza <mvoteiza@udel.edu> (tiny change)
1988 * net/eww.el (eww-mode-map): Bind backtab to shr-previous-link.
1990 2014-11-23 Kenjiro Nakayama <nakayamakenjiro@gmail.com>
1992 * net/eww.el (eww-set-character-encoding): New command and keystroke.
1993 (eww-display-raw): Use it (bug#16225).
1995 2014-11-23 Lars Magne Ingebrigtsen <larsi@gnus.org>
1997 * net/nsm.el (network-security-level): Rename from
1998 `nsm-security-level' and documented.
2000 * mail/smtpmail.el (smtpmail-via-smtp): Warn unless encrypted and
2001 we're sending a password.
2003 * net/nsm.el: New file that implements a Network Security Manager.
2005 * net/network-stream.el (open-network-stream): Add a new
2006 :warn-unless-encrypted parameter.
2007 (network-stream-open-plain): Allow warning unless encrypted.
2008 (network-stream-open-starttls): Call the Network Security Manager.
2009 (network-stream-open-tls): Ditto.
2011 2014-11-23 Leo Liu <sdl.web@gmail.com>
2013 * calendar/cal-china.el (calendar-chinese-from-absolute-for-diary)
2014 (calendar-chinese-to-absolute-for-diary)
2015 (calendar-chinese-mark-date-pattern, diary-chinese-anniversary):
2016 Handle leap months in Chinese calendar. (Bug#18953)
2018 2014-11-22 Alan Mackenzie <acm@muc.de>
2020 Fix error with `mark-defun' and "protected:" in C++ Mode.
2021 Fixes: debbugs:19134.
2023 * progmodes/cc-cmds.el (c-where-wrt-brace-construct): Handle a
2024 return code of (label) from c-beginning-of-decl-1.
2026 2014-11-22 Ulf Jasper <ulf.jasper@web.de>
2028 * net/newst-backend.el (newsticker--sentinel-work):
2029 Tell `libxml-parse-xml-region' to discard comments. Fixes bug#18787.
2031 2014-11-22 Michael Albinus <michael.albinus@gmx.de>
2033 * net/tramp-sh.el (tramp-sh-handle-start-file-process)
2034 (tramp-sh-handle-process-file): Propagate `process-environment'.
2036 * vc/vc-hg.el (vc-hg-state): No special handling for remote files;
2037 Tramp propagates environment variables now.
2039 2014-11-22 Eric S. Raymond <esr@snark>
2041 * vc/vc-filewise.el: New file to isolate code used only by the
2042 file-oriented back ends (SCCS/RCS/CVS/SRC) which should not
2043 live in vc.el and certainly not in vc-hooks.el.
2045 * vc/vc-hooks.el, vc-rcs.el, vc-sccs.el: vc-name -> vc-master-name.
2046 This is preparatory to isolating all the 'master' functions
2047 used only by the file-oriented back ends. With this done first,
2048 the substantive diffs will be easier to read.
2050 2014-11-21 Rüdiger Sonderfeld <ruediger@c-plusplus.net>
2052 * play/morse.el (nato-alphabet): Mark URL in docstring in a way
2053 that is recognized by `help-mode'.
2055 2014-11-21 Stefan Monnier <monnier@iro.umontreal.ca>
2057 * desktop.el (desktop-create-buffer): Use activate-mark to set
2058 `mark-active' (bug#19058).
2060 2014-11-21 Eric S. Raymond <esr@snark>
2062 * vc/vc-src.el (vc-src-state): Fix bug that produced spurious
2065 2014-11-21 Eli Zaretskii <eliz@gnu.org>
2067 * vc/vc.el (vc-deduce-fileset): Support invocation from
2068 *vc-change-log* buffer. (Bug#19084)
2070 2014-11-13 Matthew Leach <matthew@mattleach.net>
2072 * arc-mode.el (archive-visit-single-files): New.
2073 (archive-mode): Visit file if archive contains a single file.
2076 2014-11-21 Ulrich Müller <ulm@gentoo.org>
2078 * vc/vc.el: Fix a typo in the commentary.
2080 2014-11-20 Eric S. Raymond <esr@snark.thyrsus.com>
2082 * vc/vc-src.el, vc/vc.el: Added support for SRC. Needs more
2083 testing and a real log-view mode.
2085 * vc/vc-bzr.el, vc/vc-cvs.el, vc/vc-dav.el, vc/vc-git.el:
2086 * vc/vc-hg.el, vc/vc-mtn.el, vc/vc-rcs.el, vc/vc-sccs.el:
2087 * vc/vc-svn.el, vc/vc.el: Remove editable argument from the backend
2088 checkout methods; where it matters (which is only in SCCS and RCS)
2089 files are always checked out editable. This may actually have
2090 been dynamically true already - it looks like the vc-next-action
2091 code evolved past visiting the other case. Tested with RCS.
2093 * vc/vc-arch.el, vc/vc-bzr.el, vc/vc-cvs.el, vc/vc-dav.el:
2094 * vc/vc-git.el, vc/vc-hg.el, vc/vc-mtn.el, vc/vc-rcs.el:
2095 * vc/vc-sccs.el, vc/vc-svn.el, vc/vc.el: Remove never-used rev
2096 argument from the backend checkin methods. Only the RCS, SCCS,
2097 and CVS back ends tried to do anything with it, and that code was
2098 never exercised. Chiseling away the cruft of decades...
2100 2014-11-19 Lars Magne Ingebrigtsen <larsi@gnus.org>
2102 * net/eww.el (eww-render): Remove a no-op :title setting.
2104 2014-11-19 Ivan Shmakov <ivan@siamics.net>
2106 * net/eww.el (eww-history-limit): New variable.
2107 (eww-save-history): Use it (bug#19105).
2108 (eww-reload): Reload the page in the right buffer.
2110 2014-11-19 Lars Magne Ingebrigtsen <larsi@gnus.org>
2112 * net/eww.el (eww-desktop-misc-data): Use `cl-remove-duplicates'.
2114 2014-11-19 Ivan Shmakov <ivan@siamics.net>
2116 * net/eww.el (eww-desktop-remove-duplicates)
2117 (eww-restore-desktop, eww-restore-reload-prompt): New variables.
2118 (eww-mode): Set up desktop mode (bug#18010).
2119 (eww-desktop-data-save, eww-desktop-data-1)
2120 (eww-desktop-history-duplicate, eww-desktop-misc-data)
2121 (eww-restore-desktop): New functions.
2123 2014-11-19 Eli Zaretskii <eliz@gnu.org>
2125 * vc/vc.el (vc-log-internal-common): Turn on log-view-mode in the
2126 correct buffer. (Bug#19101)
2128 2014-11-19 Rüdiger Sonderfeld <ruediger@c-plusplus.net>
2130 * vc/vc-git.el (vc-git-diff): Use "difftool -x diff" with
2131 `diff-switches' if `vc-git-diff-switches' is nil. (Bug#19099)
2133 2014-11-19 Artur Malabarba <bruce.connor.am@gmail.com>
2135 * ido.el (ido-bury-buffer-at-head): New command.
2136 (ido-buffer-completion-map): Bind it to C-S-b.
2138 2014-11-18 Juri Linkov <juri@linkov.net>
2140 * simple.el (next-line-or-history-element): Wrap next-line
2141 in with-no-warnings.
2142 (previous-line-or-history-element): Wrap previous-line
2143 in with-no-warnings.
2145 2014-11-18 Juri Linkov <juri@linkov.net>
2147 * progmodes/grep.el (grep-compute-defaults):
2148 Compute grep-highlight-matches before its use.
2150 2014-11-18 Juri Linkov <juri@linkov.net>
2152 * replace.el (query-replace-from-to-separator): Turn defvar into
2153 defcustom. Wrap char-displayable-p in ignore-errors because an
2154 attempt to autoload char-displayable-p fails during pre-loading.
2155 Move (propertize "\0" ... 'separator t) out of customizable part
2156 to query-replace-read-from.
2157 (query-replace-read-from): Call custom-reevaluate-setting on
2158 query-replace-from-to-separator to reevaluate the separator
2159 depending on the return value of char-displayable-p.
2160 http://lists.gnu.org/archive/html/emacs-devel/2014-11/msg00466.html
2162 2014-11-18 Juri Linkov <juri@linkov.net>
2164 * bindings.el (minibuffer-local-map): Rebind [down] from
2165 next-history-element to next-line-or-history-element, and [up]
2166 from previous-history-element to previous-line-or-history-element.
2168 * simple.el (next-line-or-history-element)
2169 (previous-line-or-history-element): New commands.
2170 http://lists.gnu.org/archive/html/emacs-devel/2014-11/msg00822.html
2172 2014-11-18 Leo Liu <sdl.web@gmail.com>
2174 * emacs-lisp/nadvice.el (define-advice): New macro.
2175 * emacs-lisp/lisp-mode.el (lisp-imenu-generic-expression):
2177 (lisp-font-lock-keywords-1): Add define-advice.
2179 2014-11-18 Daiki Ueno <ueno@gnu.org>
2181 * epg.el (epg-context): New slot EDIT-CALLBACK.
2182 (epg--process-filter): Call EDIT-CALLBACK when editing a key.
2183 (epg-reset): Reset EDIT-CALLBACK of the context.
2184 (epg-start-edit-key): New function.
2185 (epg-edit-key): New function.
2187 2014-11-18 Paul Eggert <eggert@cs.ucla.edu>
2189 Port new time stamp handling to Emacs 23.2.
2190 This fix is for Gnus. Reported by Katsumi Yamaoka.
2191 * calendar/time-date.el (time-add, time-subtract, time-less-p):
2192 Use eval-and-compile, not eval-when-compile.
2194 2014-11-18 Daiki Ueno <ueno@gnu.org>
2196 * epg.el (epg-context-set-passphrase-callback)
2197 (epg-context-set-progress-callback): Check if the CALLBACK
2198 argument is a function, instead of a cons.
2200 2014-11-18 Daiki Ueno <ueno@gnu.org>
2202 * epa-file.el (epa-file-insert-file-contents)
2203 (epa-file-write-region): Remove redundant check of
2205 * epa.el (epa-sign-file, epa-encrypt-file, epa-decrypt-region)
2206 (epa-sign-region, epa-encrypt-region): Remove redundant check of
2209 2014-11-18 Daiki Ueno <ueno@gnu.org>
2211 * epa-file.el (epa-file-insert-file-contents): Don't show
2212 "*Error*" buffer if input file does not exist.
2213 Reported by Herbert J. Skuhra.
2215 2014-11-18 Paul Pogonyshev <pogonyshev@gmail.com>
2216 Rüdiger Sonderfeld <ruediger@c-plusplus.net>
2218 * progmodes/cc-langs.el: Support some of the new keywords in C++11.
2219 An alternative version of the patch from bug#13871.
2220 (c-operators): Add "alignof".
2221 (c-primitive-type-kwds): Add "char16_t", "char32_t".
2222 (c-type-modifier-kwds): Add "constexpr", "noexcept".
2223 (c-modifier-kwds): Add "thread_local".
2224 (c-constant-kwds): Add "nullptr".
2226 2014-11-17 Michal Nazarewicz <mina86@mina86.com>
2228 * textmodes/tildify.el (tildify-pattern, tildify-space-string):
2229 New variables for specifying tildify pattern and representation of
2230 a hard space -- a no-break space by default -- respectively.
2231 Being buffer-local they are much easier to handle than
2232 `tildify-string-alist' and `tildify-pattern-alist' respectively
2233 that have been used so far. They also works better with derived
2235 (tildify-foreach-region-function): New variable specifying
2236 a function determining portions of buffer that should be
2237 tildified. It allows major modes to create a filtering function
2238 more elaborate than a set of regular expressions. Initialised to
2239 `tildify--deprecated-ignore-evironments' by default to handle now
2240 deprecated `tildify-ignored-environments-alist' variable.
2241 (tildify--foreach-region): A new function that takes
2242 `tildify-foreach-region-function' into account and calls callback
2243 for regions of the buffer that should be tildified.
2244 (tildify-foreach-ignore-environments): A new function which can be
2245 partially applied and used as `tildify-foreach-region-function'.
2246 (tildify-ignored-environments-alist, tildify-pattern)
2247 (tildify-string-alist, tildify--pick-alist-entry): Mark as obsolete.
2248 (tildify--find-env): Rename from `tildify-find-env' and mark as
2250 (tildify--deprecated-ignore-evironments): New function,
2251 immediately marked as obsolete, used to handle deprecated
2252 `tildify-ignored-environments-alist'.
2254 * textmodes/tex-mode.el (tex-common-initialization):
2255 Set `tildify-space-string' and `tildify-foreach-region-function'
2256 variables in all variants of TeX mode since `tildify-string-alist'
2257 and `tildify-ignored-environments-alist' are now empty by default.
2259 * nxml/nxml-mode.el (nxml-mode): Ditto in `nxml-mode'.
2260 If encoding supports it use no-break space instead of character
2261 entity; this changes previous default which used a numeric
2264 * textmodes/sgml-mode.el (sgml-mode): ditto in `sgml-mode'.
2265 If encoding does not support no-break space, use numeric reference;
2266 this changes previous default which used named entity (“ ”)
2269 2014-11-17 Ulf Jasper <ulf.jasper@web.de>
2271 * calendar/icalendar.el (icalendar-export-alarms):
2272 New customizable variable. (Bug#5433)
2273 (icalendar-export-region): Export alarms as specified in
2274 `icalendar-export-alarms'.
2275 (icalendar--create-ical-alarm, icalendar--do-create-ical-alarm):
2276 New functions for exporting alarms.
2278 2014-11-17 Lars Magne Ingebrigtsen <larsi@gnus.org>
2280 * bindings.el (search-map): Move `eww-search-words' to `M-s M-w'.
2282 2014-11-17 Paul Eggert <eggert@cs.ucla.edu>
2284 Port new time stamp handling to old Emacs and to XEmacs.
2285 This is needed for Gnus, which copies time-date.el and which
2286 runs on older Emacs implementations.
2287 * calendar/time-date.el (with-decoded-time-value):
2288 Handle 'nil' and floating-point arg more compatibly with new Emacs.
2289 (encode-time-value, with-decoded-time-value):
2290 Obsolete only if new Emacs.
2291 (time-add, time-subtract, time-less-p): Define if not new Emacs.
2293 Improve time stamp handling, and be more consistent about it.
2294 This implements a suggestion made in:
2295 http://lists.gnu.org/archive/html/emacs-devel/2014-10/msg00587.html
2296 Among other things, this means timer.el no longer needs to
2297 autoload the time-date module.
2298 * allout-widgets.el (allout-elapsed-time-seconds): Doc fix.
2299 * arc-mode.el (archive-ar-summarize):
2300 * calendar/time-date.el (seconds-to-time, days-to-time, time-since):
2301 * emacs-lisp/timer.el (timer-relative-time, timer-event-handler)
2302 (run-at-time, with-timeout-suspend, with-timeout-unsuspend):
2303 * net/tramp.el (tramp-time-less-p, tramp-time-subtract):
2304 * proced.el (proced-time-lessp):
2305 * timezone.el (timezone-time-from-absolute):
2306 * type-break.el (type-break-schedule, type-break-time-sum):
2307 Simplify by using new functionality.
2308 * calendar/cal-dst.el (calendar-next-time-zone-transition):
2309 Do not return time values in obsolete and undocumented (HI . LO)
2310 format; use (HI LO) instead.
2311 * calendar/time-date.el (with-decoded-time-value):
2312 Treat 'nil' as current time. This is mostly for XEmacs.
2313 (encode-time-value, with-decoded-time-value): Obsolete.
2314 (time-add, time-subtract, time-less-p): Use no-op autoloads, for
2315 XEmacs. Define only if XEmacs, as they're now C builtins in Emacs.
2316 * ldefs-boot.el: Update to match new time-date.el
2317 * proced.el: Do not require time-date.
2319 2014-11-16 Lars Magne Ingebrigtsen <larsi@gnus.org>
2321 * net/eww.el (eww-mode): Make the buffer read-only.
2322 (eww-form-text): Inhibit read-only-ness in text input fields
2325 2014-11-16 Stefan Monnier <monnier@iro.umontreal.ca>
2327 * simple.el (execute-extended-command--shorter): Cut search here.
2328 (execute-extended-command): Instead of here.
2330 2014-11-16 Fabián Ezequiel Gallina <fgallina@gnu.org>
2332 * progmodes/python.el (python-mode): Avoid use of set-local to
2333 keep Emacs 24.x compatibility.
2335 2014-11-16 Lars Magne Ingebrigtsen <larsi@gnus.org>
2337 * net/shr.el (shr): Move to the new defgroup `web'.
2339 * net/eww.el (eww): Ditto.
2341 * simple.el (execute-extended-command): Don't show the help
2342 message if the binding isn't significantly shorter than the
2343 M-x command the user typed (bug#19013).
2345 2014-11-16 Ulf Jasper <ulf.jasper@web.de>
2347 * calendar/icalendar.el (icalendar--convert-tz-offset):
2348 Return complete cons when offsets of standard time and daylight saving
2350 (icalendar-export-region): Fix unbound variable warning.
2352 2014-11-16 Fabián Ezequiel Gallina <fgallina@gnu.org>
2354 * progmodes/python.el (run-python): Allow CMD to be optional and
2355 default it to a safe command, even for Windows. (bug#18596)
2357 2014-11-16 Fabián Ezequiel Gallina <fgallina@gnu.org>
2359 * progmodes/python.el (python-shell-calculate-command):
2360 Rename from python-shell-parse-command. Cleanup.
2361 (run-python, run-python-internal): Use it.
2362 (python-shell-calculate-pythonpath): Rename from
2363 python-new-pythonpath.
2364 (python-shell-calculate-process-environment): Use it.
2365 (python-shell-calculate-exec-path): Add comment.
2367 2014-11-16 Thierry Banel <tbanelwebmin@free.fr> (tiny change)
2369 * calc/calc-arith.el (math-max-list, math-min-list): Fix bug
2372 2014-11-16 Andreas Schwab <schwab@linux-m68k.org>
2374 * version.el (emacs-repository-get-version): Use git rev-parse
2377 2014-11-16 Fabián Ezequiel Gallina <fgallina@gnu.org>
2379 * progmodes/python.el (python-indent-calculate-levels):
2380 Fix indentation behavior multiline dedenter statement. (Bug#18432)
2382 2014-11-16 Fabián Ezequiel Gallina <fgallina@gnu.org>
2384 * progmodes/python.el (python-indent-region):
2385 Use python-indent-line and skip special cases. (Bug#18843)
2387 2014-11-16 Peder O. Klingenberg <peder@klingenberg.no>
2389 * mail/emacsbug.el (report-emacs-bug): Make a better guess at
2390 envelope-from when reporting through sendmail (bug#19054).
2392 2014-11-16 Oscar Fuentes <ofv@wanadoo.es>
2394 Add faces for the VC modeline state indicator.
2396 (vc-state-faces, vc-state-base-face)
2397 (vc-up-to-date-state, vc-needs-update-state)
2398 (vc-locked-state, vc-locally-added-state)
2399 (vc-conflict-state, vc-removed-state)
2400 (vc-missing-state, vc-edited-state):
2402 (vc-default-mode-line-string): Use them
2404 2014-11-16 Stefan Monnier <monnier@iro.umontreal.ca>
2406 * emacs-lisp/backquote.el (backquote-process): Optimize away ",'".
2408 2014-11-15 Lars Magne Ingebrigtsen <larsi@gnus.org>
2410 * net/eww.el (eww-search-words): Mention `eww-search-prefix'.
2412 2014-11-15 Fabián Ezequiel Gallina <fgallina@gnu.org>
2414 * progmodes/python.el (python-eldoc-setup-code): Enhance string
2415 type checks, simplify printing. (Bug#18962)
2417 2014-11-14 Ivan Andrus <darthandrus@gmail.com>
2419 * progmodes/python.el (python-shell-font-lock-kill-buffer):
2420 (python-shell-font-lock-with-font-lock-buffer)
2421 (python-shell-get-buffer, python-ffap-module-path):
2422 Use `derived-mode-p' instead of equality test on `major-mode'.
2424 2014-11-14 Fabián Ezequiel Gallina <fgallina@gnu.org>
2426 * progmodes/python.el (python-shell-virtualenv-root): Rename from
2427 python-shell-virtualenv-path.
2428 (python-shell-internal-get-process-name)
2429 (python-shell-calculate-process-environment)
2430 (python-shell-calculate-exec-path): Use it.
2432 2014-11-14 Eli Zaretskii <eliz@gnu.org>
2434 * bindings.el (search-map): Fix last change: don't use 'kbd' in
2435 bindings.el, since it is not yet loaded when bindings.el is
2438 2014-11-14 Fabián Ezequiel Gallina <fgallina@gnu.org>
2440 * progmodes/python.el (python-shell-completion-get-completions):
2443 2014-11-14 Lars Magne Ingebrigtsen <larsi@gnus.org>
2445 * net/eww.el (eww-render): Don't set the title to the URL.
2447 2014-11-13 Ulrich Müller <ulm@gentoo.org>
2449 * version.el (emacs-repository-get-version): Call `git log'
2450 command with proper format argument (bug#19049).
2452 2014-11-14 Lars Magne Ingebrigtsen <larsi@gnus.org>
2454 * bindings.el (search-map): Bind M-s M-s to `eww-search-words'.
2456 2014-11-14 Kenjiro NAKAYAMA <nakayamakenjiro@gmail.com>
2458 * net/eww.el (eww-search-words): New command (bug#16258).
2460 2014-11-13 Lars Magne Ingebrigtsen <larsi@gnus.org>
2462 * net/shr.el (shr-inhibit-images): Add a doc string.
2464 * net/eww.el (eww-after-render-hook): New variable.
2465 (eww-render): Use it.
2467 * net/shr.el (shr-descend): Don't descend further than
2468 `max-specpdl-size' allows (bug#16587).
2469 (shr-depth): New variable.
2470 (shr-warning): New variable.
2472 2014-11-13 Ivan Shmakov <ivan@siamics.net>
2474 * net/shr.el (shr-parse-base): Handle <base href=""> correctly.
2475 (shr-expand-url): Expand absolute URLs correctly (bug#17958).
2477 2014-11-13 Lars Magne Ingebrigtsen <larsi@gnus.org>
2479 * net/eww.el (eww): Add comment to clarify.
2481 * net/shr.el (shr-parse-image-data): Remove blocked bits from
2482 external SVG images.
2483 (shr-tag-object): Display images in <object> forms (bug#16244).
2484 (shr-tag-table): Also insert <objects> after the tables.
2486 2014-11-13 Michael Albinus <michael.albinus@gmx.de>
2488 * vc/vc-hg.el (vc-hg-state): Disable pager. (Bug#18940)
2490 2014-11-13 Lars Magne Ingebrigtsen <larsi@gnus.org>
2492 * net/eww.el (eww-form-file): Fix version number.
2494 2014-11-10 Lars Magne Ingebrigtsen <larsi@gnus.org>
2496 * net/eww.el (eww-form-file): :type isn't a valid `defface' keyword.
2498 2014-11-10 Kenjiro NAKAYAMA <nakayamakenjiro@gmail.com>
2500 * net/eww.el(eww-form-file(defface)): New defface of file upload form.
2501 (eww-submit-file): New key map of file upload.
2502 (eww-form-file): New file upload button and file name context.
2503 (eww-select-file): Select file and display selected file name.
2504 (eww-tag-input): Handle input tag of file type.
2505 (eww-update-field): Add point offset.
2506 (eww-submit): Add submit with multipart/form-data.
2508 2014-11-10 Lars Magne Ingebrigtsen <larsi@gnus.org>
2510 * net/eww.el (eww-render, eww-display-html, eww-setup-buffer):
2511 Allow taking a buffer to render data in. This allows using several
2512 eww buffers (bug#16211).
2514 2014-11-10 Charles Rendleman <carendle@gmail.com> (tiny change)
2516 * net/eww.el (eww-download-callback): Save only the file contents,
2519 2014-11-10 Lars Magne Ingebrigtsen <larsi@gnus.org>
2521 * net/eww.el (eww-data): New plist to store all the data relevant
2522 to a single page, used throughout the file instead of the
2523 variables `eww-current-url', `eww-current-dom',
2524 `eww-current-source', and `eww-current-title'.
2525 (eww-readable): Copy over pertinent data from the parent page.
2526 (eww-save-history): Don't let the history grow infinitely.
2528 * net/eww.el: Remove `eww-next-url', `eww-previous-url',
2529 `eww-up-url', `eww-home-url', `eww-start-url' and
2530 `eww-contents-url' and put the data into the `eww-data' plist.
2531 This allow restoring these values after going back in the history.
2533 2014-11-10 Sylvain Chouleur <sylvain.chouleur@gmail.com> (tiny change)
2535 Allow VTIMEZONE where daylight and standard time zones are equal.
2536 See: http://lists.gnu.org/archive/html/emacs-devel/2014-11/msg00494.html
2537 * calendar/icalendar.el (icalendar--convert-tz-offset):
2538 Support timezone without daylight saving time.
2540 2014-11-10 Glenn Morris <rgm@gnu.org>
2542 * startup.el (command-line): Handle nil elements in load-path.
2544 2014-11-10 Stefan Monnier <monnier@iro.umontreal.ca>
2546 * help.el (view-lossage): Include the actual commands run.
2548 2014-11-10 Dmitry Gutov <dgutov@yandex.ru>
2550 * vc/vc-dir.el (vc-dir-hide-state): Also hide `ignored' items when
2551 no state is specified. (Bug#18964)
2553 2014-11-09 Eric Ludlam <zappo@gnu.org>
2555 * emacs-lisp/eieio-custom.el (eieio-customize-object):
2556 Set eieio-cog (current group) to g, which is an improved form of input
2559 2014-11-09 Juri Linkov <juri@jurta.org>
2561 * isearch.el (isearch-message-prefix): Show "Multi-file" and
2562 "Multi-buffer" instead of "Multi". (Bug#13592)
2564 * misearch.el (multi-isearch-file-list):
2565 Autoload multi-isearch-buffer-list and multi-isearch-file-list.
2566 (multi-isearch-end): Reset multi-isearch-buffer-list and
2567 multi-isearch-file-list to nil.
2569 2014-11-09 Stefan Monnier <monnier@iro.umontreal.ca>
2571 * emacs-lisp/bytecomp.el (byte-compile-initial-macro-environment):
2572 Don't call byte-compile-preprocess since the result will go through
2574 (byte-compile-output-docform): Handle uninterned `name' correctly.
2575 * emacs-lisp/cl-macs.el (cl-define-compiler-macro): Use interned name
2576 to circumvent byte-compiler bug.
2578 * emacs-lisp/macroexp.el (macroexp--expand-all): Fix typo.
2579 (macroexp--compiler-macro): Remove left-over debug code.
2581 * emacs-lisp/cl-extra.el (cl-get): Silence compiler warning.
2583 2014-11-08 Juri Linkov <juri@jurta.org>
2585 * simple.el (shell-command): Use buffer-name when output-buffer is
2586 a buffer. (Bug#18096)
2588 2014-11-08 Juri Linkov <juri@jurta.org>
2590 * minibuffer.el (minibuffer-completion-help): Compare this-command
2591 with completion-at-point. (Bug#17809)
2593 2014-11-08 Glenn Morris <rgm@gnu.org>
2595 * emacs-lisp/bytecomp.el (byte-compile-report-error):
2596 Allow the argument to be a string. Due to the vague doc,
2597 it was already being used this way.
2599 2014-11-08 Michael Albinus <michael.albinus@gmx.de>
2601 * net/tramp.el (tramp-check-cached-permissions): Include hop in
2602 the constructed Tramp file name. (Bug#18943)
2604 2014-11-08 Stefan Monnier <monnier@iro.umontreal.ca>
2606 * emulation/cua-base.el (cua--select-keymaps): Use region-active-p
2608 (cua-set-mark, cua--post-command-handler-1):
2609 * emulation/cua-gmrk.el (cua-cancel-global-mark): Same.
2611 2014-11-08 Michael Albinus <michael.albinus@gmx.de>
2613 * files.el (file-name-non-special): Wrap the call of
2614 `insert-file-contents' by `unwind-protect', in order to set the
2615 buffer's file name anyway. (Bug#18891)
2617 2014-11-08 Alan Mackenzie <acm@muc.de>
2619 Fix wrong bound to c-font-lock-declarators. Fixes bug #18948.
2620 * progmodes/cc-fonts.el (c-font-lock-declarations):
2621 Pass "(point-max)" as bound to c-font-lock-declarators, not "limit", as
2622 the buffer is sometimes narrowed to less than "limit" (e.g., in
2623 the presence of macros).
2625 2014-11-08 Michael Albinus <michael.albinus@gmx.de>
2627 * net/tramp.el (tramp-error-with-buffer): Show connection buffer
2628 only when message appeared in minibuffer. (Bug#18891)
2630 * net/tramp-adb.el (tramp-adb-handle-file-attributes):
2631 * net/tramp-gvfs.el (tramp-gvfs-handle-file-attributes):
2632 * net/tramp-sh.el (tramp-sh-handle-file-attributes): Return nil in
2635 2014-11-08 Stefan Monnier <monnier@iro.umontreal.ca>
2637 * emacs-lisp/bytecomp.el (byte-compile-initial-macro-environment):
2638 Don't compile before eval in `eval-and-compile'.
2639 (byte-compile-arglist-warn): Add check for defining macros after their
2640 first use. Check call use even if the function is fboundp.
2642 2014-11-08 Richard Stallman <rms@gnu.org>
2644 * mail/rmail.el (rmail-epa-decrypt): Detect armor with line prefixes.
2645 Check more carefully for mime-part specified character set.
2646 Check for mime-part Content Transfer Encoding.
2647 Notify if no armor found.
2649 2014-11-08 Martin Rudalics <rudalics@gmx.at>
2651 * faces.el (face-set-after-frame-default): Enable running
2652 `window-configuration-change-hook'.
2654 2014-11-07 Juri Linkov <juri@jurta.org>
2656 * replace.el: History for query replace pairs.
2657 (query-replace-defaults): Promote to a list of cons cell. Doc fix.
2658 (query-replace-from-to-separator): New variable.
2659 (query-replace-read-from): Let-bind query-replace-from-to-history
2660 to a list of FROM-TO strings created from query-replace-defaults
2661 and separated by query-replace-from-to-separator. Use it as
2662 the history while reading from the minibuffer. Split the returned
2663 string by the separator to get FROM and TO parts, and add them
2664 to the history variables.
2665 (query-replace-read-to): Add FROM-TO pairs to query-replace-defaults.
2666 (query-replace-regexp-eval): Let-bind query-replace-defaults to nil.
2667 http://lists.gnu.org/archive/html/emacs-devel/2014-11/msg00253.html
2669 * isearch.el (isearch-text-char-description): Keep characters
2670 intact and put formatted strings with the `display' property.
2672 2014-11-07 Martin Rudalics <rudalics@gmx.at>
2674 * cus-start.el (frame-resize-pixelwise): Fix group.
2675 (frame-inhibit-implied-resize): Add entry.
2677 2014-11-07 Daiki Ueno <ueno@gnu.org>
2679 * epa.el (epa-pinentry-mode): New user option.
2680 (epa-sign-file, epa-encrypt-file, epa-decrypt-region)
2681 (epa-sign-region, epa-encrypt-region): Respect epa-pinentry-mode.
2682 * epa-file.el (epa-file-insert-file-contents)
2683 (epa-file-write-region): Respect epa-pinentry-mode.
2685 2014-11-07 Daiki Ueno <ueno@gnu.org>
2687 * epg.el (epg--list-keys-1): Ignore fields after the 15th field
2688 (bug#18979). Reported by Hideki Saito.
2690 2014-11-06 Daiki Ueno <ueno@gnu.org>
2692 * emacs-lisp/package.el (package--display-verify-error): New function.
2693 (package--check-signature): Use it to display output sent to stderr.
2695 2014-11-06 Stefan Monnier <monnier@iro.umontreal.ca>
2697 * subr.el (pop): Don't call the getter twice (bug#18968).
2699 * emacs-lisp/macroexp.el (macroexp--expand-all): Optimize away trivial
2702 2014-11-06 Daiki Ueno <ueno@gnu.org>
2704 * epa.el (epa-error-buffer): New variable.
2705 (epa-display-error): New function.
2706 (epa-decrypt-file, epa-verify-file, epa-verify-region)
2707 (epa-delete-keys, epa-import-keys): Display output sent to stderr.
2708 (epa-sign-file, epa-sign-region, epa-encrypt-region)
2709 (epa-export-keys, epa-insert-keys): Display output sent to stderr.
2710 Use setf instead of epg-context-set-*.
2711 * epa-file.el (epa-file-insert-file-contents):
2712 Use epa-display-error instead of epa-display-info. Mimic the behavior
2713 of jka-compr when decryption program is not found.
2714 (epa-file-write-region): Use epa-display-error instead of
2717 2014-11-05 Stefan Monnier <monnier@iro.umontreal.ca>
2719 * vc/vc.el (vc-region-history): New command.
2720 (vc-print-log-internal): Use cl-some.
2722 * vc/vc-git.el (vc-git-region-history): New function.
2723 (vc-git-region-history-mode-map)
2724 (vc-git--log-view-long-font-lock-keywords)
2725 (vc-git-region-history-font-lock-keywords): New vars.
2726 (vc-git-region-history-font-lock): New function.
2727 (vc-git-region-history-mode): New major mode.
2729 2014-11-05 Tassilo Horn <tsdh@gnu.org>
2731 * net/eww.el (subr-x): Require subr-x at compile-time because eww
2734 2014-11-05 Daiki Ueno <ueno@gnu.org>
2736 * epg.el (epg-context): Add new slot ERROR-OUTPUT.
2737 (epg-error-output): New buffer-local variable.
2738 (epg--start): Initialize epg-error-output.
2739 (epg--process-filter): Record output lines sent to stderr, in
2741 (epg-wait-for-completion): Copy epg-error-output to ERROR-OUTPUT
2743 * epa-file.el (epa-file-insert-file-contents): On error, display
2744 output sent to stderr.
2745 (epa-file-write-region): Likewise.
2747 2014-11-05 Eli Zaretskii <eliz@gnu.org>
2749 * jit-lock.el (jit-lock-stealth-fontify): Be tolerant to nil being
2750 returned by load-average.
2752 2014-11-05 Michael Albinus <michael.albinus@gmx.de>
2754 * net/tramp-sh.el (tramp-do-copy-or-rename-file-via-buffer): Don't use
2755 a local copy; setting `inhibit-file-name-handlers' proper might be
2756 more performant. (Bug#18751)
2758 2014-11-05 Glenn Morris <rgm@gnu.org>
2760 * mail/emacsbug.el (report-emacs-bug): No longer include
2761 recent-keys in the report. (Bug#18900)
2763 2014-11-04 Paul Eggert <eggert@cs.ucla.edu>
2765 * mouse.el (mouse-drag-line): Fix misspelling of "right-fringe".
2767 2014-11-04 Teodor Zlatanov <tzz@lifelogs.com>
2769 * net/eww.el (eww): Trim URL with `string-trim'.
2770 Suggested by Vibhav Pant <vibhavp@gmail.com>.
2772 2014-11-03 Lars Magne Ingebrigtsen <larsi@gnus.org>
2774 * net/eww.el (eww-score-readability): Don't count comments positively.
2776 * net/shr.el (shr-retransform-dom): Typo fix.
2778 * net/eww.el (eww-score-readability): Parse SVC images correctly.
2779 (eww-display-html): Don't leave point inside forms.
2781 * net/shr.el: Ditto.
2783 2014-11-03 Stefan Monnier <monnier@iro.umontreal.ca>
2785 * emacs-lisp/edebug.el (edebug-safe-prin1-to-string): Assume that
2786 edebug-prin1-to-string already handles circularity.
2788 * emacs-lisp/byte-run.el (defun-declarations-alist): Fix compiler-macro
2789 autoloading when specified as a lambda.
2791 * simple.el (execute-extended-command--last-typed): New var.
2792 (read-extended-command): Set it.
2793 Don't complete obsolete commands.
2794 (execute-extended-command--shorter-1)
2795 (execute-extended-command--shorter): New functions.
2796 (execute-extended-command): Use them to suggest shorter names.
2797 (indicate-copied-region, deactivate-mark): Use region-active-p.
2799 2014-11-03 Michael Albinus <michael.albinus@gmx.de>
2801 * net/tramp-sh.el (tramp-do-copy-or-rename-file-via-buffer): Use a
2802 local copy of FILENAME, when it is remote. (Bug#18751)
2804 * net/tramp-adb.el (tramp-adb-handle-process-file): Do not raise
2805 an error when the command fails; the return code must indicate.
2806 (tramp-adb-send-command-and-check): Fix docstring.
2808 2014-11-03 Lars Magne Ingebrigtsen <larsi@gnus.org>
2810 * net/shr.el (shr-retransform-dom): Don't ignore elements that
2811 have no children like <br />.
2813 * net/eww.el (eww-display-html): Clear `url-queue'.
2814 (eww-display-pdf): New function.
2815 (eww-render): Display PDFs with `doc-view'.
2816 (url-queue): Require `url-queue' to avoid compilation warning.
2817 (eww-colorize-region): Remove duplicate function.
2818 (eww-tag-body): Use `shr-colorize-region'.
2820 2014-11-03 Yoni Rabkin <yrk@gnu.org>
2822 * net/eww.el (eww-list-bookmarks): Autoload.
2824 2014-11-03 Lars Magne Ingebrigtsen <larsi@gnus.org>
2826 * net/shr.el (shr-retransform-dom): Allow several text sub-nodes.
2828 * net/eww.el (eww-display-html): The charset is called `utf-8',
2830 (eww-readable): Decode the saved text correctly.
2831 (eww-readable): Save the history before displaying so that we can
2832 go back to the non-readable version.
2833 (eww-display-html): Don't try to decode the text if we've been
2834 passed in a pre-parsed DOM.
2835 (eww-tag-title): Remove newlines and extra whitespace from the
2838 2014-11-02 Lars Magne Ingebrigtsen <larsi@gnus.org>
2840 * net/eww.el (eww-readable): New command and keystroke.
2842 * net/shr.el (shr-retransform-dom): New function.
2844 * net/eww.el (eww-display-html): Set `eww-current-source' in the
2846 (eww-view-source): Use it.
2848 2014-11-02 Ivan Shmakov <ivan@siamics.net>
2850 * net/eww.el (eww): Recognize colon-delimited IPv6 addresses.
2853 2014-11-02 Brian McKenna <brian@brianmckenna.org> (tiny change)
2855 * net/eww.el (eww-submit): Encode empty form values as "". (Bug#17785).
2857 2014-11-02 Ivan Shmakov <ivan@siamics.net>
2859 * net/eww.el (eww): Allow "file:/file/name" URLs. (Bug#18825).
2861 2014-11-02 Ivan Shmakov <ivan@siamics.net>
2863 * net/eww.el (eww-mode-map): Remove mentions of `eww-quit'.
2866 2014-11-02 Eric Abrahamsen <eric@ericabrahamsen.net>
2868 * emacs-lisp/eieio.el (eieio-edebug-prin1-to-string): Adjust for
2870 (edebug-setup-hook): Advise `edebug-prin1-to-string'. (Bug#18897)
2872 2014-11-02 Stefan Monnier <monnier@iro.umontreal.ca>
2874 * emacs-lisp/pp.el (pp-macroexpand-expression): Use macroexpand-1
2876 * progmodes/elisp-mode.el (emacs-lisp-macroexpand): Idem.
2878 2014-11-01 Michael R. Mauger <michael@mauger.com>
2880 * sql.el (sql-mode-oracle-font-lock-keywords): Correct regexp
2881 syntax, add new keywords, and parse longer keywords first.
2882 (sql-redirect-one): Protect against empty command.
2883 (sql-mode, sql-interactive-mode): Set `custom-mode-group' property
2886 2014-11-01 Michael R. Mauger <michael@mauger.com>
2888 * sql.el (sql-interactive-mode, sql-stop): Correct fix for
2889 Bug#16814 with let-bind of comint-input-ring variables around read
2892 2014-11-01 Michael Albinus <michael.albinus@gmx.de>
2894 * net/tramp-cache.el (tramp-get-file-property)
2895 (tramp-set-file-property): Check that `tramp-cache-get-count-*'
2896 and `tramp-cache-set-count-*' are bound. Otherwise, there might
2897 be compiler warnings.
2899 * net/tramp-sh.el (tramp-get-remote-uid, tramp-get-remote-gid):
2900 Return -1 respective "UNKNOWN", if uid or gid cannot be determined.
2902 2014-11-01 Eli Zaretskii <eliz@gnu.org>
2904 * progmodes/compile.el (compilation-mode): Turn off deferred
2905 fontifications locally. (Bug#18856)
2907 2014-11-01 Wolfgang Jenkner <wjenkner@inode.at>
2909 * net/tramp-sh.el (tramp-send-command): Fix the case where the
2910 remote-echo connection property is non-nil (bug#18858).
2912 2014-11-01 Stefan Monnier <monnier@iro.umontreal.ca>
2914 * simple.el (newline): Add assertions to try and help catch bug#18913.
2916 * emulation/cua-base.el (cua-delete-region): Use delete-active-region
2918 (cua--last-deleted-region-pos, cua--last-deleted-region-text): Remove.
2920 2014-11-01 Kim F. Storm <storm@cua.dk>
2922 Restore cua-delete-copy-to-register-0 and M-v command (bug#18886).
2923 * delsel.el (delete-selection-save-to-register)
2924 (delsel--replace-text-or-position): New vars.
2925 (delete-active-region): Use them.
2926 (delete-selection-repeat-replace-region): New command, moved from
2928 * emulation/cua-base.el (cua--repeat-replace-text): Remove var.
2929 (cua-repeat-replace-region): Move command to delsel.el.
2930 (cua--init-keymaps): Update binding accordingly.
2931 (cua-mode): Set delete-selection-save-to-register.
2933 2014-11-01 Alan Mackenzie <acm@muc.de>
2935 Make blink-parens work with a closing template delimiter.
2936 * progmodes/cc-cmds.el (c-electric-lt-gt): Cause a redisplay
2937 before calling blink-paren-function, so as to apply syntax-table
2938 properties to the ">".
2940 2014-11-01 Jan Djärv <jan.h.d@swipnet.se>
2942 * select.el (gui-get-selection): Comment: data-type ignored on NS.
2944 2014-10-31 Stefan Monnier <monnier@iro.umontreal.ca>
2946 * emacs-lisp/macroexp.el (macroexpand-1): New function (bug#18821).
2947 (macroexp--expand-all): Unrelated tweaks.
2949 * emacs-lisp/gv.el (gv-get): Use macroexpand-1.
2951 2014-10-30 Glenn Morris <rgm@gnu.org>
2953 * startup.el (command-line): Remove pointless attempt to avoid
2954 statting the file-system (which expand-file-name doesn't do).
2956 2014-10-30 Daniel Colascione <dancol@dancol.org>
2958 Add "enum class" support to C++ mode.
2959 * progmodes/cc-langs.el (c-after-brace-list-decl-kwds)
2960 (c-after-brace-list-key): New language consts/variables.
2961 * progmodes/cc-engine.el (c-looking-at-decl-block):
2962 Exclude spurious match of "enum struct" from decl-block recognition.
2963 (c-backward-colon-prefixed-type): New function.
2964 (c-backward-over-enum-header): Call above function to extend
2965 recognition of enum structure.
2967 2014-10-30 Stefan Monnier <monnier@iro.umontreal.ca>
2969 * progmodes/cc-defs.el (c--macroexpand-all): New function (bug#18845).
2971 * progmodes/cc-langs.el (c-make-init-lang-vars-fun): Use it.
2973 2014-10-30 Eli Zaretskii <eliz@gnu.org>
2975 * progmodes/compile.el (compilation-start):
2976 If compilation-scroll-output is non-nil, don't force window-start of
2977 the compilation buffer to be at beginning of buffer. (Bug#18874)
2979 * startup.el (fancy-about-text): Read the entire tutorial, not
2980 just its first 256 bytes. (Bug#18760)
2982 2014-10-30 Stefan Monnier <monnier@iro.umontreal.ca>
2984 * emacs-lisp/bytecomp.el: Require cl-extra (bug#18804).
2985 * emacs-lisp/cl-extra.el: Add missing provide.
2987 * emacs-lisp/bytecomp.el (byte-compile-and-folded): Optimize case where
2988 all args are copyable (bug#18767).
2989 (=, <, >, <=, >=): Re-enable the optimization.
2991 2014-10-29 Glenn Morris <rgm@gnu.org>
2993 * net/rcirc.el (rcirc-fill-column): Unbump :version. Mark :risky.
2995 * version.el (emacs-bzr-version, emacs-bzr-get-version):
2996 Revert 2014-10-26 change.
2998 2014-10-29 Paul Eggert <eggert@cs.ucla.edu>
3000 Simplify use of current-time and friends.
3001 * allout-widgets.el (allout-widgets-hook-error-handler):
3002 * calendar/appt.el (appt-display-message):
3003 * calendar/icalendar.el (icalendar--convert-float-to-ical):
3004 * calendar/timeclock.el (timeclock-in, timeclock-when-to-leave)
3005 (timeclock-last-period, timeclock-day-base):
3006 * eshell/em-ls.el (eshell-ls-file):
3007 * eshell/esh-util.el (eshell-parse-ange-ls):
3008 * generic-x.el (named-database-print-serial):
3009 * net/newst-backend.el (newsticker--get-news-by-url-callback)
3010 (newsticker-get-news, newsticker--sentinel-work)
3011 (newsticker--image-get, newsticker--image-sentinel):
3012 * net/tramp-sh.el (tramp-get-remote-touch):
3013 * progmodes/opascal.el (opascal-debug-log):
3014 * textmodes/remember.el (remember-mail-date)
3015 (remember-store-in-files):
3016 * vc/vc-annotate.el (vc-annotate-display-autoscale)
3017 (vc-default-annotate-current-time):
3018 * vc/vc-bzr.el (vc-bzr-shelve-snapshot):
3019 * vc/vc-cvs.el (vc-cvs-annotate-current-time):
3020 * vc/vc-rcs.el (vc-rcs-annotate-current-time):
3021 Omit unnecessary call to current-time.
3022 * calendar/time-date.el (time-to-seconds) [!float-time]:
3023 * vc/vc-annotate.el (vc-annotate-convert-time):
3024 Use current time if arg is nil, to be compatible with float-time.
3025 (time-date--day-in-year): New function, with most of the guts of
3026 the old time-to-day-in-year.
3027 (time-to-day-in-year): Use it.
3028 (time-to-days): Use it, to avoid decoding the same time stamp twice.
3029 * calendar/timeclock.el (timeclock-time-to-date):
3030 Arg is now optional, like current-time-string.
3031 (timeclock-update-mode-line):
3032 Don't call current-time twice to get the current time stamp,
3033 as this can lead to inconsistent results.
3034 * completion.el (cmpl-hours-since-origin):
3035 * ido.el (ido-time-stamp):
3036 * vc/vc-annotate.el (vc-annotate-convert-time):
3037 Simplify by using float-time.
3038 * completion.el (save-completions-to-file):
3039 Rename local var to avoid confusion.
3040 * net/rcirc.el (rcirc-float-time): Simplify to an alias because
3041 time-to-seconds now behaves like float-time with respect to nil arg.
3042 * subr.el (progress-reporter-do-update):
3043 Don't call float-time unless needed.
3045 2014-10-29 Leo Liu <sdl.web@gmail.com>
3047 * net/rcirc.el (rcirc-fill-column): Use function.
3048 (rcirc-markup-fill): Remove adjustment.
3050 2014-10-28 Christopher Schmidt <ch@ristopher.com>
3052 * calc/calc.el (quick-calc):
3053 * calc/calc-aent.el (calc-do-quick-calc): New argument INSERT.
3055 2014-10-28 Sam Steingold <sds@gnu.org>
3057 * net/rcirc.el (rcirc-fill-column): Allow any symbolic value for
3058 the sake of `window-body-width' (in addition to `frame-width').
3060 2014-10-26 Eric S. Raymond <esr@thyrsus.com>
3062 * version.el: Fix some fallback values to conform to the actual
3065 2014-10-25 Eric S. Raymond <esr@thyrsus.com>
3067 * Makefile.in: Change some production names so they're neutral
3068 about the repository type.
3070 2014-10-25 Michael Albinus <michael.albinus@gmx.de>
3072 * net/tramp-gvfs.el (tramp-gvfs-methods-mounttracker)
3073 (tramp-gvfs-mountlocation-signature): Check `tramp-gvfs-enabled'
3074 during initialization. (Bug#18774)
3076 2014-10-25 Vincent Belaïche <vincentb1@users.sourceforge.net>
3078 * ses.el (macroexp): Add require for this package, so that
3079 function `ses--cell' gets macroexp-quote --- this change was
3080 supposed to be in my previous commit, but left out by mistake.
3081 (ses--cell): Do not make formula a macroexp-quote of value when
3082 value, not formula, is *skip*.
3084 2014-10-24 Vincent Belaïche <vincentb1@users.sourceforge.net>
3086 * ses.el (macroexp): Add require for this package, so that function
3087 `ses--cell gets macroexp-quote.
3088 (ses--cell): Makes formula a macroexp-quote of value when formula
3089 is nil. The rationale of this changr is to allow in the future
3090 shorter SES files, e.g. we could have only `(ses-cell A1 1.0)'
3091 instead of `(ses-cell A1 1.0 1.0 nil REFLIST)'. In such a case
3092 reference list REFLIST would be re-computed after load --- thus
3093 trading off load time against file size.
3095 * emacs-lisp/package.el (package--alist-to-plist-args):
3096 Use macroexp-quote instead of a lambda expression which has the same
3097 content as macroexp-quote.
3098 (macroexp): Add require for this package, so that function
3099 `package--alist-to-plist-args' gets macroexp-quote.
3101 * emacs-lisp/macroexp.el (macroexp-quote): New defun.
3103 2014-10-24 Stefan Monnier <monnier@iro.umontreal.ca>
3105 * term/ns-win.el (ns-store-cut-buffer-internal)
3106 (ns-copy-including-secondary): Use gui-set-selection (bug#18816).
3108 2014-10-24 Martin Rudalics <rudalics@gmx.at>
3110 * mouse.el (mouse-drag-line): Don't use mouse-pixel-position.
3111 Calculate increment from last position instead of window edge.
3112 Add right- and bottom-divider bindings to transient map.
3114 2014-10-23 Stefan Monnier <monnier@iro.umontreal.ca>
3116 * emacs-lisp/cl-macs.el (cl-defstruct): Define an internal predicate
3117 even if :predicate was nil, for the benefit of typep.
3118 Record the name of the predicate for typep's use.
3119 (cl--make-type-test): Use pcase. Obey new
3120 cl-deftype-satisfies property.
3122 * epg.el: Use cl-defstruct.
3123 (epg-make-data-from-file, epg-make-data-from-string, epg-data-file)
3124 (epg-data-string): Define via cl-defstruct.
3125 (epg--gv-nreverse): New macro.
3126 (epg-context--make): New constructor (provided vi cl-defstruct).
3127 (epg-make-context): Rewrite using it.
3128 (epg-context-protocol, epg-context-program)
3129 (epg-context-home-directory, epg-context-armor, epg-context-textmode)
3130 (epg-context-include-certs, epg-context-cipher-algorithm)
3131 (epg-context-digest-algorithm, epg-context-compress-algorithm)
3132 (epg-context-passphrase-callback, epg-context-progress-callback)
3133 (epg-context-signers, epg-context-sig-notations, epg-context-process)
3134 (epg-context-output-file, epg-context-result, epg-context-operation)
3135 (epg-context-pinentry-mode): Define using cl-defstruct.
3136 (epg-context-set-protocol, epg-context-set-program)
3137 (epg-context-set-include-certs, epg-context-set-cipher-algorithm)
3138 (epg-context-set-digest-algorithm)
3139 (epg-context-set-sig-notations, epg-context-set-process)
3140 (epg-context-set-output-file, epg-context-set-result)
3141 (epg-context-set-operation, epg-context-set-pinentry-mode)
3142 (epg-context-set-compress-algorithm): Remove. Use setf instead.
3143 (epg-context-set-armor, epg-context-set-textmode)
3144 (epg-context-set-signers): Redefine using setf
3145 and declare as obsolete.
3146 (epg-context-set-passphrase-callback)
3147 (epg-context-set-progress-callback): Use setf.
3148 (epg-signature-notations): Rename from epg-sig-notations.
3149 (epg-make-signature, epg-signature-status, epg-signature-key-id)
3150 (epg-signature-validity, epg-signature-fingerprint)
3151 (epg-signature-creation-time, epg-signature-expiration-time)
3152 (epg-signature-pubkey-algorithm, epg-signature-digest-algorithm)
3153 (epg-signature-class, epg-signature-version): Define vi cl-defstruct.
3154 (epg-signature-set-status, epg-signature-set-key-id)
3155 (epg-signature-set-validity, epg-signature-set-fingerprint)
3156 (epg-signature-set-creation-time, epg-signature-set-expiration-time)
3157 (epg-signature-set-pubkey-algorithm)
3158 (epg-signature-set-digest-algorithm, epg-signature-set-class)
3159 (epg-signature-set-version, epg-signature-set-notations): Remove.
3161 (epg-make-new-signature, epg-new-signature-type)
3162 (epg-new-signature-pubkey-algorithm)
3163 (epg-new-signature-digest-algorithm, epg-new-signature-class)
3164 (epg-new-signature-creation-time, epg-new-signature-fingerprint):
3165 Define using cl-defstruct.
3166 (epg-make-key, epg-key-owner-trust, epg-key-sub-key-list)
3167 (epg-key-user-id-list): Define using cl-defstruct.
3168 (epg-key-set-sub-key-list, epg-key-set-user-id-list): Remove.
3170 (epg-make-sub-key, epg-sub-key-validity, epg-sub-key-capability)
3171 (epg-sub-key-secret-p, epg-sub-key-algorithm, epg-sub-key-length)
3172 (epg-sub-key-id, epg-sub-key-creation-time)
3173 (epg-sub-key-expiration-time, epg-sub-key-fingerprint): Define using
3175 (epg-sub-key-set-fingerprint): Remove. Use setf instead.
3176 (epg-make-user-id, epg-user-id-validity, epg-user-id-string)
3177 (epg-user-id-signature-list): Define using cl-defstruct.
3178 (epg-user-id-set-signature-list): Remove. Use setf instead.
3179 (epg-make-key-signature, epg-key-signature-validity)
3180 (epg-key-signature-pubkey-algorithm, epg-key-signature-key-id)
3181 (epg-key-signature-creation-time, epg-key-signature-expiration-time)
3182 (epg-key-signature-user-id, epg-key-signature-class)
3183 (epg-key-signature-exportable-p): Define using cl-defstruct.
3184 (epg-make-sig-notation, epg-sig-notation-name)
3185 (epg-sig-notation-value, epg-sig-notation-human-readable)
3186 (epg-sig-notation-critical): Define using cl-defstruct.
3187 (epg-sig-notation-set-value): Remove. Use setf instead.
3188 (epg-make-import-status, epg-import-status-fingerprint)
3189 (epg-import-status-reason, epg-import-status-new)
3190 (epg-import-status-user-id, epg-import-status-signature)
3191 (epg-import-status-sub-key, epg-import-status-secret): Define using
3193 (epg-make-import-result, epg-import-result-considered)
3194 (epg-import-result-no-user-id, epg-import-result-imported)
3195 (epg-import-result-imported-rsa, epg-import-result-unchanged)
3196 (epg-import-result-new-user-ids, epg-import-result-new-sub-keys)
3197 (epg-import-result-new-signatures, epg-import-result-new-revocations)
3198 (epg-import-result-secret-read, epg-import-result-secret-imported)
3199 (epg-import-result-secret-unchanged, epg-import-result-not-imported)
3200 (epg-import-result-imports): Define using cl-defstruct.
3202 * emacs-lisp/package.el: Require EPG during macroexpansion.
3203 (package--check-signature, package-import-keyring): Use setf instead of
3204 epg-context-set-home-directory.
3206 2014-10-23 Stefan Monnier <monnier@iro.umontreal.ca>
3208 * emacs-lisp/bytecomp.el (byte-compile--use-old-handlers): Change default.
3210 2014-10-23 Leo Liu <sdl.web@gmail.com>
3212 * progmodes/cfengine.el (cfengine3-defun-full-re): New var.
3213 (cfengine3-create-imenu-index): Use it and use ` ' for separation.
3214 (cfengine3-current-defun): New function.
3215 (cfengine3-mode): Set add-log-current-defun-function.
3217 2014-10-23 Stefan Monnier <monnier@iro.umontreal.ca>
3219 * select.el: Use lexical-binding.
3220 (gui-set-selection): Provide an implementation for non-GUI frames
3222 * term/x-win.el: Use lexical-binding.
3223 (x-clipboard-yank): Fix up missed renamings.
3224 * term/w32-win.el (libgif-version, libjpeg-version): Silence compiler.
3225 (w32--set-selection): Fix up var names.
3226 * term/pc-win.el: Use lexical-binding.
3227 (w16-selection-exists-p): Silence compiler warning.
3228 (w16-selection-owner-p): Fix up missed renamings.
3230 * emacs-lisp/bytecomp.el (byte-compile-form): Remove left-over debug.
3232 * frame.el (frame-notice-user-settings): Fix excessive quoting.
3234 2014-10-22 Tassilo Horn <tsdh@gnu.org>
3236 * doc-view.el (doc-view-open-text): View the document's plain text
3237 in the current buffer instead of a new one.
3238 (doc-view-toggle-display): Handle the case where the current
3239 buffer contains the plain text contents of the document.
3240 (doc-view-initiate-display): Don't switch to fallback mode if the
3241 user wants to view the doc's plain text.
3242 (doc-view-set-doc-type): Use assoc-string instead of
3245 2014-10-21 Stefan Monnier <monnier@iro.umontreal.ca>
3247 * subr.el (read-key): Fix clicks on the mode-line.
3248 (set-transient-map): Return exit function.
3250 * mouse.el (mouse-drag-line): Use set-transient-map (bug#18015).
3251 (mouse--down-1-maybe-follows-link): Remove unused var `this-event'.
3252 (mouse-yank-secondary): Use gui-get-selection.
3253 (mouse--down-1-maybe-follows-link): Use read-key.
3255 * xt-mouse.el: Add `event-kind' property on the fly from
3256 xterm-mouse-translate-1 rather than statically at the outset.
3258 2014-10-21 Daniel Colascione <dancol@dancol.org>
3260 * vc/vc-dispatcher.el (vc-resynch-window): Tell view-mode not to
3261 change window configuration when we turn it off.
3263 2014-10-21 Stefan Monnier <monnier@iro.umontreal.ca>
3265 Get rid of backend-dependent selection-handling functions for kill/yank
3266 and make it generic instead by relying on the lower-level selection
3267 management functions.
3269 * select.el (select-enable-clipboard): Rename from
3270 gui-select-enable-clipboard.
3271 (select-enable-primary): Move from x-win.el and rename from
3272 x-select-enable-primary.
3273 (gui-last-selected-text): Remove.
3274 (gui--last-selected-text-clipboard, gui--last-selected-text-primary):
3276 (gui-select-text): Rewrite, based on x-win.el's old x-select-text.
3277 (gui-select-text-alist, gui-selection-value-alist): Remove.
3278 (x-select-request-type): Move from x-win.el.
3279 (gui--selection-value-internal): New function, taken from x-win's
3280 x-selection-value-internal.
3281 (gui-selection-value): Rewrite, based on x-win.el's old x-selection-value.
3282 (gui-set-selection-alist): Rename from gui-own-selection-alist and
3283 extend it to handle a nil value as a "disown" request.
3284 (gui-disown-selection-alist): Remove.
3285 (xselect-convert-to-delete): Adjust accordingly.
3286 (gui-set-selection): Simplify accordingly as well. Use dotimes.
3288 * term/x-win.el (x-last-selected-text-primary)
3289 (x-select-enable-primary): Remove (moved to select.el).
3290 (x-select-request-type): Move to select.el.
3291 (x-selection-value-internal, x--selection-value): Remove functions.
3292 (gui-selection-value, gui-select-text): Remove moethods.
3293 (gui-set-selection): Merge own and disown methods.
3295 * term/w32-win.el (w32--select-text, w32--get-selection-value):
3296 Delete function (move functionality into w32--set-selection and
3297 w32--get-selection).
3298 (gui-select-text, gui-selection-value): Don't define methods.
3299 (w32--set-selection, w32--get-selection, w32--selection-owner-p):
3301 (gui-get-selection, gui-selection-owner-p, gui-selection-exists-p):
3303 (gui-selection-exists-p): Adjust to new name of C primitive.
3305 * term/pc-win.el (w16-get-selection-value): Add dummy argument and drop
3306 test of gui-select-enable-clipboard, to make it usable as
3307 a gui-get-selection method.
3308 (gui-selection-exists-p): Adjust to new name of C primitive.
3309 (gui-set-selection): Merge own and disown methods.
3310 (gui-select-text, gui-selection-value): Delete methods.
3311 (w16--select-text): Delete function.
3313 * term/ns-win.el (ns-get-pasteboard, ns-set-pasteboard)
3314 (ns-selection-value): Remove functions.
3315 (gui-select-text, gui-selection-value): Don't define method any more.
3316 (gui-set-selection): Merge the old own and disown methods.
3317 (gui-selection-exists-p, gui-get-selection): Adjust to new name of
3318 underlying C primitive.
3320 * startup.el (command-line): Adjust now that `gui-method' expects nil
3323 * frame.el (gui-method): Use window-system rather than framep.
3324 (gui-method-declare): The tty case is now nil rather than t.
3325 (make-frame): Adjust accordingly.
3327 2014-10-21 Stefan Monnier <monnier@iro.umontreal.ca>
3329 * net/newst-reader.el (newsticker--image-read): Simplify.
3330 (newsticker--icon-read): Use dolist and fix free var error.
3332 * imenu.el (imenu--menubar-keymap): New var.
3333 (imenu-add-to-menubar): Set it to remember the keymap we used.
3334 (imenu-update-menubar): Use it instead of asking lookup-key.
3336 * obsolete/cc-compat.el: Make obsolete (bug#18561).
3338 * epg-config.el (epg-gpg-program): Don't use absolute names by default.
3340 * emacs-lisp/bytecomp.el (=, <, >, <=, >=): Don't optimize multi-arg
3343 2014-10-21 Glenn Morris <rgm@gnu.org>
3345 * Merge in all changes up to version 24.4 release.
3347 2014-10-20 Stefan Monnier <monnier@iro.umontreal.ca>
3349 * emacs-lisp/bytecomp.el (=, <, >, <=, >=): Don't optimize multi-arg
3352 2014-10-20 Glenn Morris <rgm@gnu.org>
3354 * Merge in all changes up to 24.4 release.
3356 2014-10-20 Ulf Jasper <ulf.jasper@web.de>
3358 * net/newst-backend.el
3359 (newsticker--image-download-by-url-callback): Make this function
3360 actually work: Check status properly, then save image.
3362 2014-10-20 Stefan Monnier <monnier@iro.umontreal.ca>
3364 * mouse.el (mouse--down-1-maybe-follows-link): Remove unused var
3366 (mouse-drag-line): Unless there's no actual mouse, use the event's
3369 2014-10-20 Stefan Monnier <monnier@iro.umontreal.ca>
3371 * textmodes/css-mode.el (scss-mode): New major-mode.
3372 (css-mode-syntax-table): Use d style comment, to ease the scss case.
3373 (css-ident-re): Allow things like @-moz-keyframes.
3374 (scss--hash-re): New const.
3375 (css--font-lock-keywords): New function, extracted from
3376 css-font-lock-keywords.
3378 2014-10-19 Ulf Jasper <ulf.jasper@web.de>
3380 * net/newst-backend.el: Require url-parse.
3381 (newsticker--get-news-by-wget): Store feed name as process property.
3382 (newsticker--sentinel): Read feed name from process property.
3383 (newsticker--sentinel-work): Rename argument name to feed-name.
3384 Rename variable imageurl to image-url. Pick icon url from Atom
3385 1.0 data. Launch download of feed icon.
3386 (newsticker--get-icon-url-atom-1.0): New.
3388 (newsticker--unxml-node)
3389 (newsticker--unxml-attribute): Documentation.
3390 (newsticker--icons-dir): New.
3391 (newsticker--image-get): New arguments FILENAME and DIRECTORY.
3392 Use `url-retrieve' if `newsticker-retrieval-method' is 'intern.
3393 (newsticker--image-download-by-wget): New. Use process properties
3394 for storing informations.
3395 (newsticker--image-sentinel): Read informations from process properties.
3396 (newsticker--image-save)
3397 (newsticker--image-remove)
3398 (newsticker--image-download-by-url)
3399 (newsticker--image-download-by-url-callback): New.
3400 (newsticker-opml-export): Handle url list entries containing a
3401 function instead of an url string.
3403 * net/newst-reader.el (newsticker-html-renderer): Whitespace.
3404 (newsticker--print-extra-elements)
3405 (newsticker--do-print-extra-element):
3406 Documentation (newsticker--image-read): Optionally limit image height.
3407 Use imagemagick if possible.
3408 (newsticker--icon-read): New.
3410 * net/newst-treeview.el (newsticker--treeview-item-show): Limit height of feed logo.
3411 (newsticker--treeview-tree-expand): Use feed icons in treeview.
3412 (newsticker--tree-widget-icon-create): New. Set the tree widget icon.
3413 (newsticker--tree-widget-leaf-icon): Use feed icon.
3415 2014-10-19 Stefan Monnier <monnier@iro.umontreal.ca>
3417 * emacs-lisp/eieio-opt.el (eieio-lambda-arglist): Remove.
3418 Use help-function-arglist instead.
3420 * emacs-lisp/eieio-core.el (eieio-compiled-function-arglist): Remove.
3421 (eieio--with-scoped-class): Use `declare'.
3422 (eieio-defclass): Remove compatibility code.
3423 (no-method-definition, no-next-method, inconsistent-class-hierarchy)
3424 (invalid-slot-type, unbound-slot, invalid-slot-name): Use define-error.
3426 2014-10-18 Jan Djärv <jan.h.d@swipnet.se>
3428 * cus-start.el (x-gtk-whole-detached-tool-bar): Remove.
3430 * term/x-win.el (x-gtk-stock-map): Add icon names suggested as
3431 replacements to stock names before stock names in a list.
3432 Cdr may be a list, each name is tried in turn until one is found.
3434 2014-10-18 Alan Mackenzie <acm@muc.de>
3436 Check that a "macro" found near point-min isn't a ## operator.
3438 * progmodes/cc-engine.el (c-macro-is-genuine-p): New function.
3439 (c-beginning-of-macro): Use the above new function.
3441 2014-10-18 Teodor Zlatanov <tzz@lifelogs.com>
3443 * net/gnutls.el (gnutls-negotiate): Don't use cl-mapcan; pass
3444 correct data to `gnutls-boot' (Bug#18664).
3445 Reported by Toke Høiland-Jørgensen <toke@toke.dk>.
3447 2014-10-18 Michal Nazarewicz <mina86@mina86.com>
3449 * whitespace.el (whitespace-style, whitespace-big-indent)
3450 (whitespace-big-indent-regexp, whitespace-style-value-list)
3451 (whitespace-toggle-option-alist, whitespace-interactive-char)
3452 (whitespace-toggle-options)
3453 (global-whitespace-toggle-options, whitespace-help-text)
3454 (whitespace-style-face-p, whitespace-color-on): Add a 'big-indent
3455 style to `whitespace-mode' to indicate that the line indentation
3456 is too deep. By default, 32 SPACEs or four TABs are considered
3457 too many but `whitespace-big-indent-regexp' can be configured.
3459 2014-10-17 Michal Nazarewicz <mina86@mina86.com>
3461 * textmodes/tildify.el (tildify--pick-alist-entry): Rename from
3464 2014-10-17 Stefan Monnier <monnier@iro.umontreal.ca>
3466 * emacs-lisp/eieio.el: Use lexical-binding drop non-GV fallback.
3467 (defclass, defgeneric, defmethod): Add doc-string position.
3468 (with-slots): Require cl-lib.
3470 * emacs-lisp/eieio-core.el: Use lexical-binding and cl-lib.
3471 (list-of): New type.
3472 (eieio--typep): Remove.
3473 (eieio-perform-slot-validation): Use cl-typep instead.
3475 * emacs-lisp/eieio-base.el: Use lexical-binding and cl-lib.
3477 * emacs-lisp/cl-macs.el (cl--make-type-test): Avoid ((lambda ..) ..).
3479 2014-10-16 Alan Mackenzie <acm@muc.de>
3481 Trigger showing when point is in the "periphery" of a line or just
3483 * paren.el (show-paren-style, show-paren-delay)
3484 (show-paren-priority, show-paren-ring-bell-on-mismatch):
3485 Remove superfluous :group specifications.
3486 (show-paren-when-point-inside-paren)
3487 (show-paren-when-point-in-periphery): New customizable variables.
3488 (show-paren-highlight-openparen): Make into a defcustom.
3489 (show-paren--unescaped-p, show-paren--categorize-paren)
3490 (show-paren--locate-near-paren): New defuns.
3491 (show-paren--default): Refaactor and trigger on more paren
3493 (show-paren-function): Small consequential changes.
3495 2014-10-16 Tom Tromey <tom@tromey.com>
3497 * files.el (auto-mode-alist): Use javascript-mode for .jsm
3500 2014-10-16 Eli Zaretskii <eliz@gnu.org>
3502 * international/characters.el (bracket-type): Force pre-loading of
3505 2014-10-16 Alan Mackenzie <acm@muc.de>
3507 * cus-edit.el (custom-command-apply): Specify the return value in
3509 (Custom-reset-standard): Save custom-file (e.g. .emacs) only when
3510 custom-command-apply has returned non-nil.
3512 2014-10-15 Stefan Monnier <monnier@iro.umontreal.ca>
3514 * emacs-lisp/eldoc.el (global-eldoc-mode): Enable by default.
3515 Remove incorrect handling of eldoc-print-after-edit.
3516 (eldoc-message-commands, eldoc-last-data): Use defvar.
3517 * loadup.el (emacs-lisp/eldoc): Load it.
3519 * progmodes/m4-mode.el (m4-syntax-propertize): New var.
3521 (m4--quoted-p): New function.
3522 (m4-font-lock-keywords): Don't handle #..\n comments any more.
3523 (m4-mode-syntax-table): Use punctuation syntax (according to m4 manual)
3524 for most special characters.
3526 * progmodes/compile.el (compilation--previous-directory): Simplify.
3527 (compilation-next-error): Ensure the parse before we look at
3528 compilation-message property.
3530 2014-10-15 Eli Zaretskii <eliz@gnu.org>
3532 * simple.el (what-cursor-position):
3533 * descr-text.el (describe-char): Update to support the new bidi
3536 * emacs-lisp/tabulated-list.el (tabulated-list-mode):
3537 Force bidi-paragraph-direction to 'left-to-right'. This fixes
3538 buffer-menu display when the first buffer happens to start with
3541 2014-10-15 Stefan Monnier <monnier@iro.umontreal.ca>
3543 * progmodes/elisp-mode.el (elisp--local-variables-1):
3544 Handle quoted expressions (bug#18688).
3546 2014-10-14 Jérémy Compostella <jeremy.compostella@intel.com>
3547 Michael Albinus <michael.albinus@gmx.de>
3549 * net/tramp-sh.el (tramp-open-connection-setup-interactive-shell):
3550 Reduce the amount of set environment variable commands.
3552 2014-10-12 Fabián Ezequiel Gallina <fgallina@gnu.org>
3554 Fix import completion. (Bug#18582)
3555 * progmodes/python.el (python-shell-completion-get-completions):
3556 Fix import case regexp.
3558 2014-10-12 Stefan Monnier <monnier@iro.umontreal.ca>
3560 * progmodes/bat-mode.el (bat-font-lock-keywords): Fix \\<_ typo
3561 (bug#18622). Reported by Arni Magnusson <arnima@hafro.is>.
3562 * progmodes/prolog.el (prolog-electric--underscore): Same.
3564 2014-10-12 Michael Albinus <michael.albinus@gmx.de>
3566 * net/tramp-sh.el (tramp-get-remote-id): Check also for "gid".
3568 2014-10-11 Jan Djärv <jan.h.d@swipnet.se>
3570 * cus-start.el (all): Add missing ns and boolean to
3571 ns-use-fullscreen-animation.
3573 2014-10-11 Leo Liu <sdl.web@gmail.com>
3575 * progmodes/cfengine.el (cfengine3-defuns, cfengine3-vartypes):
3577 (cfengine3-create-imenu-index): New function.
3578 (cfengine3-mode): Use it for `imenu-create-index-function'.
3579 (cfengine-auto-mode): Improve and prefer cfengine3-mode when
3582 2014-10-11 Jan Djärv <jan.h.d@swipnet.se>
3584 * cus-start.el (all): Add ns-use-fullscreen-animation.
3586 2014-10-11 Glenn Morris <rgm@gnu.org>
3588 * calendar/diary-lib.el (diary-display-function):
3589 Drop support for deprecated nil and list forms.
3590 (diary-list-entries): Update for the above.
3591 * calendar/cal-x.el (calendar-dedicate-diary): Simplify accordingly.
3593 2014-10-10 Leo Liu <sdl.web@gmail.com>
3595 * window.el (temp-buffer-window-show): Make BUFFER a required arg.
3598 2014-10-10 Stefan Monnier <monnier@iro.umontreal.ca>
3600 * select.el (gui-selection-exists-p-alist): New method.
3601 * menu-bar.el (menu-bar-edit-menu, clipboard-yank):
3602 * simple.el (deactivate-mark): Use it.
3603 * term/x-win.el (gui-selection-exists-p):
3604 * term/w32-win.el (gui-selection-exists-p):
3605 * term/pc-win.el (gui-selection-exists-p):
3606 * term/ns-win.el (gui-selection-exists-p): Provide a backend instance.
3608 2014-10-10 Glenn Morris <rgm@gnu.org>
3610 * info.el (Info-fontify-maximum-menu-size): Bump to 400k. (Bug#16227)
3611 Fix :type. Allow t to mean no limit.
3612 (Info-fontify-node): Handle Info-fontify-maximum-menu-size = t.
3614 2014-10-09 Glenn Morris <rgm@gnu.org>
3616 * frame.el (display-monitor-attributes-list): Doc tweaks.
3618 2014-10-09 Eli Zaretskii <eliz@gnu.org>
3620 * faces.el (display-grayscale-p): Mention in the doc string that
3621 the argument can be either a display name or a frame.
3623 * frame.el (display-pixel-height, display-pixel-width)
3624 (display-mm-height, display-mm-width, display-backing-store)
3625 (display-save-under, display-planes, display-color-cells)
3626 (display-visual-class, display-monitor-attributes-list)
3627 (display-screens): Mention in the doc string that the argument can
3628 be either a display name or a frame. Improve the docs of the
3629 monitor attributes. (Bug#18636)
3631 2014-10-09 Martin Rudalics <rudalics@gmx.at>
3633 * term.el (term-window-width): Subtract 1 from the width when
3634 any fringe has zero width, not just the right fringe. (Bug#18601)
3636 2014-10-09 Stefan Monnier <monnier@iro.umontreal.ca>
3638 * frame.el (make-frame): Use t rather than nil for `w' (bug#18653).
3640 2014-10-08 Leo Liu <sdl.web@gmail.com>
3642 * emacs-lisp/cl-extra.el (cl-fresh-line): New function.
3644 2014-10-08 Glenn Morris <rgm@gnu.org>
3646 * calendar/cal-x.el (calendar-dedicate-diary):
3647 Drop support for recently deleted aliases.
3649 2014-10-08 Leo Liu <sdl.web@gmail.com>
3651 * progmodes/cfengine.el (cfengine3-make-syntax-cache):
3652 Always return a syntax. Replace call-process-shell-command with
3653 process-file. Ensure cfengine-mode-syntax-functions-regex is
3654 always set. Ensure cache when cfengine-cf-promises fails.
3657 2014-10-07 Glenn Morris <rgm@gnu.org>
3659 * font-lock.el (font-lock-fontify-buffer): Fix interactive-only markup.
3661 2014-10-07 Wilson Snyder <wsnyder@wsnyder.org>
3663 Sync with upstream verilog-mode revision c075a492.
3664 * progmodes/verilog-mode.el (verilog-mode-version): Bump.
3665 (verilog-menu): Add AUTOINSERTLAST.
3666 (verilog-no-indent-begin-re): When `verilog-indent-begin-after-if'
3667 is nil, fix indenting initial/final to match always statements, bug825.
3668 Reported by Tim Clapp.
3669 (verilog-extended-complete-re): Fix indentation of DPI-C imports,
3670 bug557. Reported by ZeDong Mao and Jason Forkey.
3671 (verilog-read-decls): Fix parsing typed interfaces.
3672 Fix AUTOINOUTMODPORT missing types. Reported by Stephan Bourduas.
3673 (verilog-auto-arg-ports): Fix verilog-auto-arg-format single.
3674 (verilog-auto-output-every): Add regexp to AUTOOUTPUTEVERY, bug793.
3675 Reported by Pierre-David Pfister.
3676 (verilog-auto-insert-lisp): Doc fix.
3677 (verilog-auto-insert-last, verilog-auto): Add AUTOINSERTLAST to
3678 allow post-AUTO user fixups, bug826. Reported by Dennis Muhlestein.
3679 (verilog-sk-ovm-class, verilog-sk-uvm-object)
3680 (verilog-sk-uvm-component): Fix missing string keyword in class
3681 skeletons, bug824. Reported by eldad faruhi.
3683 2014-10-06 Stefan Monnier <monnier@iro.umontreal.ca>
3685 * term/w32-win.el: Move all code from 32-common-fns.el here.
3686 (gui-select-text, gui-selection-value): Use w32 handlers in the w32
3687 console as well (bug#18629).
3688 * w32-common-fns.el: Remove.
3689 * loadup.el: Don't load w32-common-fns.el.
3690 * w32-fns.elc: Don't require w32-common-fns.
3692 * icomplete.el: Move Iswitchb autoload here. Much simpler.
3693 * obsolete/iswitchb.el (iswitchb-mode): Use normal autoload cookie.
3694 Remove redundant obsolescence thingy.
3695 * loadup.el: Don't load obsolete/loaddefs.el.
3696 * Makefile.in (obsolete-autoloads): Remove.
3697 (AUTOGENEL): Remove obsolete/loaddefs.el.
3699 2014-10-06 Glenn Morris <rgm@gnu.org>
3701 * Makefile.in (obsolete-autoloads): Write to a separate file,
3702 to workaround autoloads bug. (Bug#17407)
3703 (AUTOGENEL): Add obsolete/loaddefs.el.
3704 * loadup.el: Load obsolete/loaddefs.el if present.
3705 * subr.el (do-after-load-evaluation):
3706 Don't warn about obsolete/loaddefs.el.
3708 * menu-bar.el (menu-bar-games-menu): Remove landmark.
3709 It has zero relationship to a game.
3711 2014-10-06 Leo Liu <sdl.web@gmail.com>
3713 * imenu.el (imenu): Re-write for clarity.
3715 2014-10-06 Glenn Morris <rgm@gnu.org>
3717 Remove calendar code obsolete since at least version 23.1.
3718 * calendar/cal-bahai.el (calendar-absolute-from-bahai)
3719 (calendar-print-bahai-date, calendar-bahai-prompt-for-date)
3720 (calendar-goto-bahai-date, list-bahai-diary-entries)
3721 (mark-bahai-calendar-date-pattern, mark-bahai-diary-entries)
3722 (insert-bahai-diary-entry, insert-monthly-bahai-diary-entry)
3723 (insert-yearly-bahai-diary-entry):
3724 * calendar/cal-china.el (chinese-calendar-time-zone)
3725 (chinese-calendar-location-name)
3726 (chinese-calendar-daylight-time-offset)
3727 (chinese-calendar-standard-time-zone-name)
3728 (chinese-calendar-daylight-time-zone-name)
3729 (chinese-calendar-daylight-savings-starts)
3730 (chinese-calendar-daylight-savings-ends)
3731 (chinese-calendar-daylight-savings-starts-time)
3732 (chinese-calendar-daylight-savings-ends-time)
3733 (chinese-calendar-celestial-stem)
3734 (chinese-calendar-terrestrial-branch)
3735 (calendar-absolute-from-chinese, calendar-print-chinese-date)
3736 (calendar-goto-chinese-date):
3737 * calendar/cal-coptic.el (calendar-absolute-from-coptic)
3738 (calendar-print-coptic-date, coptic-prompt-for-date)
3739 (calendar-goto-coptic-date, calendar-absolute-from-ethiopic)
3740 (calendar-print-ethiopic-date, calendar-goto-ethiopic-date):
3741 * calendar/cal-french.el (calendar-absolute-from-french)
3742 (calendar-print-french-date, calendar-goto-french-date):
3743 * calendar/cal-hebrew.el (diary-sabbath-candles-minutes)
3744 (calendar-absolute-from-hebrew, calendar-print-hebrew-date)
3745 (hebrew-calendar-yahrzeit, calendar-goto-hebrew-date)
3746 (holiday-rosh-hashanah-etc, holiday-hanukkah)
3747 (holiday-passover-etc, holiday-tisha-b-av-etc)
3748 (list-hebrew-diary-entries, mark-hebrew-calendar-date-pattern)
3749 (mark-hebrew-diary-entries, insert-hebrew-diary-entry)
3750 (insert-monthly-hebrew-diary-entry)
3751 (insert-yearly-hebrew-diary-entry, list-yahrzeit-dates)
3752 (diary-omer, diary-yahrzeit, diary-rosh-hodesh, diary-parasha)
3753 (diary-sabbath-candles):
3754 * calendar/cal-islam.el (calendar-absolute-from-islamic)
3755 (calendar-print-islamic-date, calendar-goto-islamic-date)
3756 (list-islamic-diary-entries, mark-islamic-calendar-date-pattern)
3757 (mark-islamic-diary-entries, insert-islamic-diary-entry)
3758 (insert-monthly-islamic-diary-entry)
3759 (insert-yearly-islamic-diary-entry):
3760 * calendar/cal-iso.el (calendar-absolute-from-iso)
3761 (calendar-print-iso-date, calendar-iso-read-args)
3762 (calendar-goto-iso-date, calendar-goto-iso-week):
3763 * calendar/cal-julian.el (calendar-absolute-from-julian)
3764 (calendar-print-julian-date, calendar-goto-julian-date)
3765 (calendar-absolute-from-astro, calendar-print-astro-day-number)
3766 (calendar-goto-astro-day-number):
3767 * calendar/cal-mayan.el (calendar-print-mayan-date)
3768 (calendar-next-haab-date, calendar-previous-haab-date)
3769 (calendar-next-tzolkin-date, calendar-previous-tzolkin-date)
3770 (calendar-next-calendar-round-date)
3771 (calendar-previous-calendar-round-date)
3772 (calendar-absolute-from-mayan-long-count)
3773 (calendar-goto-mayan-long-count-date):
3774 * calendar/cal-move.el (scroll-calendar-left)
3775 (scroll-calendar-right, scroll-calendar-left-three-months)
3776 (scroll-calendar-right-three-months):
3777 * calendar/cal-persia.el (calendar-absolute-from-persian)
3778 (calendar-print-persian-date, persian-prompt-for-date)
3779 (calendar-goto-persian-date):
3780 * calendar/cal-x.el (calendar-after-frame-setup-hooks):
3781 * calendar/calendar.el (view-diary-entries-initially)
3782 (mark-diary-entries-in-calendar, calendar-today-face)
3783 (diary-face, holiday-face, view-calendar-holidays-initially)
3784 (mark-holidays-in-calendar, initial-calendar-window-hook)
3785 (today-visible-calendar-hook, today-invisible-calendar-hook)
3786 (hebrew-diary-entry-symbol, islamic-diary-entry-symbol)
3787 (bahai-diary-entry-symbol, american-date-diary-pattern)
3788 (european-date-diary-pattern, european-calendar-display-form)
3789 (american-calendar-display-form, holidays-in-diary-buffer)
3790 (all-hebrew-calendar-holidays, all-christian-calendar-holidays)
3791 (all-islamic-calendar-holidays, all-bahai-calendar-holidays)
3792 (fancy-diary-buffer, increment-calendar-month)
3793 (extract-calendar-month, extract-calendar-day)
3794 (extract-calendar-year, exit-calendar, calendar-date-is-legal-p)
3795 (mark-visible-calendar-date, calendar-version):
3796 * calendar/diary-lib.el (diary-button-face, sexp-diary-entry-symbol)
3797 (diary-display-hook, list-diary-entries-hook)
3798 (mark-diary-entries-hook, nongregorian-diary-listing-hook)
3799 (nongregorian-diary-marking-hook, print-diary-entries-hook)
3800 (abbreviated-calendar-year, number-of-diary-entries)
3801 (view-other-diary-entries, add-to-diary-list)
3802 (include-other-diary-files, simple-diary-display)
3803 (fancy-diary-display, print-diary-entries, mark-diary-entries)
3804 (mark-sexp-diary-entries, mark-included-diary-files)
3805 (mark-calendar-days-named, mark-calendar-month)
3806 (mark-calendar-date-pattern, sort-diary-entries)
3807 (list-sexp-diary-entries, make-diary-entry, insert-diary-entry)
3808 (insert-weekly-diary-entry, insert-monthly-diary-entry)
3809 (insert-yearly-diary-entry, insert-anniversary-diary-entry)
3810 (insert-block-diary-entry, insert-cyclic-diary-entry)
3811 (fancy-diary-font-lock-keywords, fancy-diary-display-mode):
3812 * calendar/holidays.el (general-holidays, oriental-holidays)
3813 (local-holidays, other-holidays, hebrew-holidays)
3814 (christian-holidays, islamic-holidays, bahai-holidays)
3815 (solar-holidays, list-calendar-holidays)
3816 (check-calendar-holidays, mark-calendar-holidays)
3817 (filter-visible-calendar-holidays):
3818 * calendar/lunar.el (calendar-phases-of-moon, phases-of-moon)
3819 (diary-phases-of-moon): Remove obsolete aliases.
3820 * calendar/cal-menu.el (cal-menu-load-hook): Remove obsolete hook.
3821 * calendar/cal-x.el (calendar-one-frame-setup)
3822 (calendar-only-one-frame-setup, calendar-two-frame-setup):
3823 Remove obsolete functions.
3824 (cal-x-load-hook): Remove obsolete hook.
3825 * calendar/calendar.el (european-calendar-style):
3826 Remove obsolete variable.
3827 (calendar-date-style): No longer consult european-calendar-style.
3828 * calendar/calendar.el (european-calendar, american-calendar):
3829 Remove obsolete commands.
3830 * calendar/calendar.el (calendar-for-loop): Remove obsolete macro.
3831 * calendar/diary-lib.el (diary-face): Remove obsolete variable.
3832 (diary-font-lock-date-forms, diary-fancy-font-lock-keywords):
3833 Use the face `diary' instead of the variable `diary-face'.
3834 * calendar/holidays.el (hebrew-holidays-1, hebrew-holidays-2)
3835 (hebrew-holidays-3, hebrew-holidays-4): Remove obsolete variables.
3836 * calendar/icalendar.el (icalendar--date-style): Remove function.
3837 Replace all uses with calendar-date-style.
3838 * textmodes/remember.el (calendar-date-style): Declare.
3839 (remember-diary-convert-entry):
3840 No longer consult european-calendar-style.
3842 2014-10-05 Leo Liu <sdl.web@gmail.com>
3844 * imenu.el (imenu-default-goto-function): Fix typo.
3846 2014-10-04 Thomas Fitzsimmons <fitzsim@fitzsim.org>
3848 * net/ntlm.el (ntlm-build-auth-request):
3849 Add NTLM2 Session support. (Bug#15603)
3851 2014-10-04 Glenn Morris <rgm@gnu.org>
3853 * apropos.el (apropos-symbols-internal):
3854 Avoid error with non-symbol properties. (Bug#18337#16)
3856 * startup.el (command-line):
3857 Handle altered user-emacs-directory in load-path warning. (Bug#18512)
3859 2014-10-04 Martin Rudalics <rudalics@gmx.at>
3861 * window.el (window-full-height-p): Make it behave correctly for
3863 (window-current-scroll-bars): Fix code.
3864 (fit-frame-to-buffer): Use window-scroll-bar-height instead of
3866 * frame.el (frame-current-scroll-bars): Fix doc-string.
3867 * scroll-bar.el (toggle-horizontal-scroll-bar): New command.
3869 2014-10-04 Mark Oteiza <mvoteiza@udel.edu> (tiny change)
3871 * files.el (auto-mode-alist): Use sh-mode for .zsh files. (Bug#18488)
3873 2014-10-04 Glenn Morris <rgm@gnu.org>
3875 * frame.el (frame-monitor-attributes)
3876 (display-monitor-attributes-list): Doc fixes.
3878 2014-10-04 Stefan Monnier <monnier@iro.umontreal.ca>
3880 Merge trivially safe differences from standalone CC-mode.
3881 * progmodes/cc-mode.el (c-initialize-cc-mode): Don't quote a symbol
3882 just to then pass it to `symbol-value'.
3883 (prog-mode): Provide fallback definition, if needed.
3884 * progmodes/cc-langs.el: Always load `cl'. Don't load `cl-lib'.
3885 Remove "cl-" prefix accordingly.
3886 * progmodes/cc-fonts.el (c-font-lock-invalid-string): Use integerp or
3887 characterp depending on the type of characters.
3888 (c-font-lock-enum-tail): Remove unused var `start'.
3889 * progmodes/cc-engine.el: Load CL at compile-time.
3890 (c-declare-lang-variables): Use mapcan.
3891 (c-append-to-state-cache): Remove unused var `ce+1'.
3892 (c-parse-state-state): Make buffer-local.
3893 (c-ssb-lit-begin): Remove unused var `pps-end-pos'.
3894 (c-just-after-func-arglist-p): Remove unused var `end'.
3895 * progmodes/cc-defs.el: Load cc-fix if delete-dups is undefined.
3896 (c-<-as-paren-syntax, c->-as-paren-syntax): Move definition earlier.
3897 (c-make-keywords-re): Use delete-dups.
3898 (c-get-current-file): Avoid file-name-base.
3899 * progmodes/cc-cmds.el (c-electric-lt-gt): Remove unused var
3900 `close-paren-inserted'.
3901 * progmodes/cc-awk.el (c-forward-sws): Remove unused declaration.
3903 * progmodes/python.el: Avoid building unneeded markers.
3904 (python-font-lock-keywords, python-indent-dedent-line)
3905 (python-fill-paren, python-shell-completion-complete-or-indent):
3906 Prefer point over point-marker.
3907 (inferior-python-mode): Remove redundant completion settings.
3909 2014-10-03 Dmitry Gutov <dgutov@yandex.ru>
3911 * vc/vc-svn.el (vc-svn-ignore-completion-table): Implement.
3912 (vc-svn-ignore): Use it. (Bug#18619)
3914 2014-10-03 Martin Rudalics <rudalics@gmx.at>
3916 * frame.el (toggle-frame-maximized, toggle-frame-fullscreen):
3917 In doc-string mention need to set `frame-resize-pixelwise'.
3919 2014-10-03 Stefan Monnier <monnier@iro.umontreal.ca>
3921 * vc/vc-svn.el (vc-svn-after-dir-status): Fix the non-remote regexp,
3922 similarly to Rogers's 2010-06-16 change for the remote case
3925 2014-10-03 Stefan Monnier <monnier@iro.umontreal.ca>
3927 New gui-selection-value consolidating x-selection-value.
3928 * select.el (gui-selection-value-alist): New method.
3929 (gui-selection-value): New function.
3930 (x-selection-value): Make it an obsolete alias.
3931 * simple.el (interprogram-paste-function): Default to
3932 gui-selection-value.
3933 * w32-common-fns.el (w32-get-selection-value): Simplify.
3934 (x-selection-value): Remove alias.
3935 (interprogram-paste-function): Don't set.
3936 (gui-selection-value): Define for w32.
3937 * term/x-win.el (gui-selection-value): Define for x.
3938 (x--selection-value): Rename from x--selection-value.
3939 (interprogram-paste-function): Don't set.
3940 * term/pc-win.el (w16-get-selection-value): Simplify.
3941 (msdos-initialize-window-system): Don't set
3942 interprogram-paste-function.
3943 (gui-selection-value): Define for pc.
3944 * term/ns-win.el (x-selection-value): Remove.
3945 (gui-selection-value): Define for ns, instead.
3946 * term/common-win.el (x-setup-function-keys): Don't set
3947 interprogram-paste-function.
3948 * obsolete/mouse-sel.el (mouse-sel-get-selection-function):
3949 Use gui-selection-value.
3951 2014-10-02 David Raynes <rayners@gmail.com> (tiny change)
3953 * term/ns-win.el: Add functions to ns frame, not x frame (bug#18614).
3955 2014-10-02 Stefan Monnier <monnier@iro.umontreal.ca>
3957 * obsolete/lucid.el (read-number): Remove, redundant.
3958 * obsolete/cl-compat.el (cl-floor, cl-ceiling, cl-round, cl-truncate):
3961 2014-10-02 Glenn Morris <rgm@gnu.org>
3963 * emacs-lisp/package.el (package-import-keyring):
3964 Create gnupg directory private. (Bug#17625#155)
3966 2014-10-02 Stefan Monnier <monnier@iro.umontreal.ca>
3968 * progmodes/python.el (python-shell-completion-get-completions):
3969 Use python-shell--prompt-calculated-input-regexp from the
3970 process buffer (bug#18582).
3971 Don't assume that `line' comes from the process buffer.
3973 2014-10-02 Stefan Monnier <monnier@iro.umontreal.ca>
3975 * frame.el: Use lexical-binding (bug#18598).
3976 (make-frame): Use t rather than nil for tty's window-system.
3977 * startup.el (command-line): Use gui-method.
3979 Consolidate management/ownership of selections.
3980 * select.el (gui-get-selection-alist): New method.
3981 (gui-get-selection): Use it. Rename from x-get-selection.
3982 (x-get-selection): Define as obsolete alias.
3983 (x-get-clipboard): Mark obsolete.
3984 (gui-get-primary-selection): New function.
3985 (x-get-selection-value): Mark obsolete.
3986 (gui-own-selection-alist, gui-disown-selection-alist)
3987 (gui-selection-owner-p-alist): New methods.
3988 (gui-set-selection): Use them. Rename from x-set-selection.
3989 (x-set-selection): Define as obsolete alias.
3990 (gui--valid-simple-selection-p): Rename from
3991 x-valid-simple-selection-p.
3992 * w32-common-fns.el (gui-own-selection, gui-disown-selection)
3993 (gui-selection-owner-p, gui-get-selection): Define for w32.
3994 (w32-get-selection-value): Rename from x-get-selection-value.
3995 Use the new gui-last-selected-text.
3996 * term/x-win.el (x-get-selection-value): Remove.
3997 (x-clipboard-yank): Declare obsolete.
3998 (gui-own-selection, gui-disown-selection, gui-get-selection)
3999 (gui-selection-owner-p): Define for x.
4000 * term/w32-win.el (w32-win-suspend-error): Rename from
4001 x-win-suspend-error.
4002 * term/pc-win.el (w16-get-selection-value): Rename from
4003 x-get-selection-value.
4004 (w16-selection-owner-p): Rename from x-selection-owner-p.
4005 (gui-own-selection, gui-disown-selection, gui-get-selection)
4006 (gui-selection-owner-p): Define for pc.
4007 (w16--select-text): New function.
4008 * term/ns-win.el (gui-own-selection, gui-disown-selection)
4009 (gui-get-selection, gui-selection-owner-p): Define for ns.
4010 * term.el (term-mouse-paste):
4011 * mouse.el (mouse-yank-primary): Use gui-get-primary-selection.
4013 2014-10-02 H. Dieter Wilhelm <dieter@duenenhof-wilhelm.de>
4015 * calc/calc-help.el (calc-describe-thing): Quote strings
4016 which could look like regexps.
4018 2014-10-01 Stefan Monnier <monnier@iro.umontreal.ca>
4020 Consolidate x-select-text.
4021 * frame.el (gui-method, gui-method-define, gui-method-declare)
4022 (gui-call): New macros.
4023 (gui-method--name): New function.
4024 (frame-creation-function-alist): Use gui-method-declare.
4025 (make-frame): Use gui-method.
4026 * select.el (gui-select-enable-clipboard): Rename from
4027 x-select-enable-clipboard and move here.
4028 (x-select-enable-clipboard): Define as obsolete alias.
4029 (gui-last-selected-text): New var, to replace x-last-selected-text.
4030 (gui-select-text): New GUI method.
4031 (gui-select-text): New function.
4032 (x-select-text): Define as obsolete alias.
4033 * term/common-win.el (x-select-enable-clipboard, x-select-text):
4035 * simple.el (interprogram-cut-function): Change default to
4037 (interprogram-paste-function): Change default to `ignore'.
4038 * w32-common-fns.el (interprogram-cut-function): Don't modify.
4039 * term/x-win.el (interprogram-cut-function): Don't modify.
4040 (gui-select-text): Add method for x.
4041 * term/w32-win.el (gui-select-text): Add method for w32.
4042 * term/pc-win.el (x-last-selected-text): Remove, use
4043 gui-last-selected-text instead.
4044 (msdos-initialize-window-system): Don't set interprogram-cut-function.
4045 (gui-select-text): Add method for pc.
4046 * term/ns-win.el (ns-last-selected-text): Remove, use
4047 gui-last-selected-text instead.
4048 (gui-select-text): Add method for ns.
4049 (x-setup-function-keys): Don't change interprogram-cut-function.
4050 * loadup.el ("startup"): Load after "frame".
4051 * subr.el (package--builtin-versions, package--description-file):
4052 Move from startup.el.
4053 * startup.el (package--builtin-versions, package--description-file):
4055 (handle-args-function-alist, window-system-initialization-alist):
4056 Use gui-method-declare.
4057 (command-line): Use gui-method.
4059 2014-10-01 Stefan Monnier <monnier@iro.umontreal.ca>
4061 * subr.el (alist-get): New accessor.
4062 * emacs-lisp/gv.el (alist-get): Provide expander.
4063 * winner.el (winner-remember):
4064 * tempo.el (tempo-use-tag-list):
4065 * progmodes/gud.el (minor-mode-map-alist):
4066 * international/mule-cmds.el (define-char-code-property):
4067 * frameset.el (frameset-filter-params):
4068 * files.el (dir-locals-set-class-variables):
4069 * register.el (get-register, set-register):
4070 * calc/calc-yank.el (calc-set-register): Use it.
4071 * ps-print.el (ps-get, ps-put, ps-del): Mark as obsolete.
4072 * tooltip.el (tooltip-set-param): Mark as obsolete.
4073 (tooltip-show): Use alist-get instead.
4074 * ses.el (ses--alist-get): Remove. Use alist-get instead.
4076 2014-10-01 Ulf Jasper <ulf.jasper@web.de>
4078 * net/newst-backend.el: Remove Time-stamp. Rename variable
4079 `newsticker--download-logos' to `newsticker-download-logos' and
4080 make it customizable.
4081 (newsticker--sentinel-work): Move xml-workarounds to function
4082 `newsticker--do-xml-workarounds', call unless libxml-parser is
4083 used. Allow single quote in regexp for encoding.
4084 Use libxml-parser if available, else fall back to `xml-parse-region'.
4085 Take care of possibly missing namespace prefixes (like "RDF"
4086 instead of "rdf:RDF") when checking xml nodes and attributes (as
4087 libxml correctly removes the prefixes). Always use Atom 1.0 as
4088 fallback feed type. Rename `newsticker--download-logos' to
4089 `newsticker-download-logos'
4090 (newsticker--unxml, newsticker--unxml-node)
4091 (newsticker--unxml-attribute): New.
4092 (newsticker--parse-atom-1.0): Call `unxml' in case that embedded
4093 HTML code has become part of the xml parse tree.
4094 (newsticker--parse-rss-1.0, newsticker--parse-rss-2.0): Take care
4095 of possibly missing namespace prefixes.
4096 (newsticker--parse-generic-items): Code formatting. Typo.
4097 (newsticker--images-dir): Add trailing slash.
4098 (newsticker--image-get): Fix error message.
4100 * net/newst-plainview.el: Remove Time-stamp.
4102 * net/newst-reader.el: Remove Time-stamp.
4103 (newsticker-download-logos): Rename variable
4104 `newsticker--download-logos' to `newsticker-download-logos' and
4105 make it customizable.
4106 (newsticker--print-extra-elements): Add optional parameter
4107 'htmlish for using html markup. Amend list of ignored elements.
4108 (newsticker--do-print-extra-element): Add parameter 'htmlish for
4111 * net/newst-ticker.el: Remove Time-stamp.
4113 * net/newst-treeview.el (newsticker--treeview-item-show): Use html
4114 for formatting extra elements.
4116 * net/newsticker.el: Remove Time-stamp, Version.
4117 (newsticker-version): Make obsolete.
4119 2014-09-30 Leonardo Nobrega <leonobr@gmail.com> (tiny change)
4121 * progmodes/python.el (python-fill-paren): Don't inf-loop at EOB
4124 2014-09-30 Stefan Monnier <monnier@iro.umontreal.ca>
4126 * emacs-lisp/package.el (package-check-signature): Default to nil if
4127 GPG is not available.
4128 (package-refresh-contents): Don't mess with the keyring if we won't
4129 check the signatures anyway.
4131 2014-09-30 Stefan Monnier <monnier@iro.umontreal.ca>
4133 * ses.el (ses--row, ses--col): New dyn-scoped vars, to replace row&col.
4134 (ses-center, ses-center-span): Use them.
4135 (ses-print-cell): Bind them while calling the printer.
4136 (row, col, maxrow, maxcol): Don't declare as dynamically scoped.
4137 (ses-dorange): Revert last change.
4138 (ses-calculate-cell): Don't bind row&col dynamically while evaluating
4140 (ses-set-cell): Avoid `eval'.
4141 (ses--time-check): Rename it from ses-time-check and turn it into
4144 * ses.el (ses-setup): Don't assume modifying the iteration var of
4145 dotimes affects the iteration (bug#18191).
4147 2014-09-30 Vincent Belaïche <vincentb1@users.sourceforge.net>
4149 * ses.el (ses-calculate-cell): Bind row and col dynamically to
4150 their values with 'cl-progv'.
4151 (ses-dorange): Bind row, col, maxrow and maxcol dynamically to
4152 their values with 'cl-progv', also use non-interned symbols for
4153 row, minrow, maxrow, mincol and maxcol.
4154 (maxrow maxcol): New defvar, to make the compiler happy.
4156 2014-09-30 Stefan Monnier <monnier@iro.umontreal.ca>
4158 * minibuffer.el (completion-at-point): Emit warning for ill-behaved
4159 completion functions.
4161 2014-09-29 Stefan Monnier <monnier@iro.umontreal.ca>
4163 * ses.el (ses--letref): Quote value before it gets re-evaluated.
4165 2014-09-28 Thien-Thi Nguyen <ttn@gnu.org>
4167 Font-lock `cl-flet*', too.
4168 * emacs-lisp/lisp-mode.el (lisp-cl-font-lock-keywords-2):
4169 Add "flet*" to intermediate var `cl-lib-kw'.
4171 2014-09-27 Stefan Monnier <monnier@iro.umontreal.ca>
4173 * epg-config.el (epg-gpg-program): Use the plain program names rather
4174 than their absolute file name.
4176 * subr.el (track-mouse): New macro.
4177 * emacs-lisp/cconv.el (cconv-convert, cconv-analyse-form):
4178 Remove track-mouse case.
4179 * emacs-lisp/bytecomp.el (byte-compile-track-mouse): Remove.
4181 2014-09-27 Leo Liu <sdl.web@gmail.com>
4183 * progmodes/elisp-mode.el (elisp--eldoc-last-data): Use defvar.
4185 * emacs-lisp/eldoc.el (eldoc-mode): Fix thinko.
4187 2014-09-27 Stefan Monnier <monnier@iro.umontreal.ca>
4189 * emacs-lisp/pcase.el (pcase--split-match, pcase--app-subst-match):
4190 Handle the case where `match' is :pcase--succeed or :pcase--fail
4193 Introduce global-eldoc-mode. Move Elisp-specific code to elisp-mode.el.
4194 * emacs-lisp/eldoc.el (global-eldoc-mode): New minor mode.
4195 (eldoc-schedule-timer): Obey it.
4196 (eldoc-documentation-function): Default to nil.
4197 (eldoc-mode): Don't enable if eldoc-documentation-function is not set.
4198 (eldoc-documentation-function-default, eldoc-get-fnsym-args-string)
4199 (eldoc-highlight-function-argument, eldoc-get-var-docstring)
4200 (eldoc-last-data-store, eldoc-docstring-first-line)
4201 (eldoc-docstring-format-sym-doc, eldoc-fnsym-in-current-sexp)
4202 (eldoc-beginning-of-sexp, eldoc-current-symbol)
4203 (eldoc-function-argstring): Move to elisp-mode.el.
4204 (eldoc-symbol-function): Remove, unused.
4205 * progmodes/elisp-mode.el: New file. Rename all "eldoc-*" to "elisp--*".
4206 (elisp-completion-at-point): Rename from lisp-completion-at-point.
4207 (elisp--preceding-sexp): Rename from preceding-sexp.
4208 * loadup.el: Load new file progmodes/elisp-mode.
4209 * ielm.el (inferior-emacs-lisp-mode): Set eldoc-documentation-function.
4210 * emacs-lisp/lisp.el (lisp--local-variables-1, lisp--local-variables)
4211 (lisp--local-variables-completion-table, lisp--expect-function-p)
4212 (lisp--form-quoted-p, lisp--company-doc-buffer)
4213 (lisp--company-doc-string, lisp--company-location)
4214 (lisp-completion-at-point): Move to elisp-mode.el.
4215 * emacs-lisp/lisp-mode.el (lisp--mode-syntax-table): New syntax-table,
4216 extracted from emacs-lisp-mode-syntax-table.
4217 (emacs-lisp-mode-abbrev-table, emacs-lisp-mode-syntax-table): Move to
4219 (lisp-imenu-generic-expression): Add comments to document what comes
4220 from which Lisp dialect.
4221 (emacs-lisp-mode-map, emacs-lisp-byte-compile)
4222 (emacs-lisp-byte-compile-and-load, emacs-lisp-mode-hook)
4223 (emacs-lisp-mode, emacs-list-byte-code-comment-re)
4224 (emacs-lisp-byte-code-comment)
4225 (emacs-lisp-byte-code-syntax-propertize, emacs-lisp-byte-code-mode)
4226 (lisp-interaction-mode-map, lisp-interaction-mode)
4227 (eval-print-last-sexp, last-sexp-setup-props)
4228 (last-sexp-toggle-display, prin1-char, preceding-sexp)
4229 (eval-last-sexp-1, eval-last-sexp-print-value)
4230 (eval-last-sexp-fake-value, eval-sexp-add-defvars, eval-last-sexp)
4231 (eval-defun-1, eval-defun-2, eval-defun): Move to elisp-mode.el.
4233 2014-09-26 Paul Eggert <eggert@cs.ucla.edu>
4235 * progmodes/grep.el (grep-regexp-alist): Use more-accurate regexp.
4236 Do not match file names that end in '/', as they cannot be 'grep'
4237 hits nowadays. This prevents confusion when 'grep -r' reports a
4238 match in a file whose basename is ':12345:'. Conversely, do not
4239 require exactly the same sequence of spaces and tabs after both
4240 colons, and allow spaces or tabs before the second colon, as per
4241 the POSIX spec for 'grep' output.
4243 2014-09-26 Leo Liu <sdl.web@gmail.com>
4245 Add cl-parse-integer based on parse-integer (Bug#18557)
4246 * calendar/parse-time.el (parse-time-digits): Remove.
4247 (digit-char-p, parse-integer) Moved to cl-lib.el.
4248 (parse-time-tokenize, parse-time-rules, parse-time-string):
4249 Use cl-parse-integer.
4251 * emacs-lisp/cl-extra.el (cl-parse-integer): New function.
4253 * emacs-lisp/cl-lib.el (cl-digit-char-table): New var.
4254 (cl-digit-char-p): New function.
4256 2014-09-25 Juri Linkov <juri@jurta.org>
4258 * vc/add-log.el (change-log-next-buffer): Don't create an empty
4259 buffer "ChangeLog" when the current buffer doesn't match ChangeLog.[0-9].
4260 Return the current buffer if no files match the default pattern
4261 ChangeLog.[0-9]. Signal "end of multi" when file is nil. (Bug#18547)
4263 2014-09-25 Stefan Monnier <monnier@iro.umontreal.ca>
4265 * net/tramp-sh.el (tramp-sh-handle-vc-registered): Don't modify
4266 the global vc-handled-backends (bug#18535).
4268 2014-09-24 Stefan Monnier <monnier@iro.umontreal.ca>
4270 * find-cmd.el (find-cmd): Use grep's `find-program' (bug#18518).
4271 Suggested by <lompik@voila.fr>.
4273 2014-09-24 Ulf Jasper <ulf.jasper@web.de>
4275 * net/newst-treeview.el (newsticker--treeview-do-get-node-by-id):
4276 Rename from `newsticker--treeview-do-get-node'.
4277 (newsticker--treeview-get-node-by-id):
4278 Rename from `newsticker--treeview-get-node'.
4279 (newsticker--treeview-buffer-init)
4280 (newsticker--treeview-buffer-init): Disable buffer undo.
4281 (newsticker--treeview-unfold-node): Adapt to modified
4282 `newsticker--group-find-parent-group'.
4283 (newsticker--group-do-find-group):
4284 Rename from `newsticker--group-do-find-group-for-feed'.
4285 Now works for both, groups and feeds.
4286 (newsticker--group-find-parent-group):
4287 Rename from `newsticker--group-find-group-for-feed'.
4288 Now works for both, groups and feeds.
4289 (newsticker--group-do-get-parent-group)
4290 (newsticker--group-get-parent-group): Remove.
4291 (newsticker-group-add-group): Change interactive prompts.
4292 (newsticker-group-add-group): Finally jump to added group.
4293 (newsticker-group-delete-group): Finally jump to current feed.
4294 (newsticker--group-do-rename-group, newsticker-group-rename-group)
4295 (newsticker--get-group-names, newsticker--group-names): New.
4296 (newsticker-group-move-feed): Finally jump to moved feed.
4297 (newsticker-group-shift-feed-down, newsticker-group-shift-feed-up)
4298 (newsticker-group-shift-group-down)
4299 (newsticker-group-shift-group-up, newsticker--group-shift): New.
4300 (newsticker-treeview-mode-map): New keybindings for new shift commands.
4302 * net/newst-backend.el (newsticker--item-list)
4303 (newsticker--item-position, newsticker--prev-message)
4304 (newsticker--scrollable-text): Move to newst-ticker.el.
4306 * net/newst-ticker.el (newsticker--item-list)
4307 (newsticker--item-position, newsticker--prev-message)
4308 (newsticker--scrollable-text): Move from newst-backend.el.
4310 2014-09-22 Kan-Ru Chen <kanru@kanru.info>
4312 * window.el (fit-window-to-buffer): When counting buffer width,
4313 count the whole visible buffer. Correctly convert the body-height
4314 to pixel size for window-text-pixel-size (Bug#18498).
4316 2014-09-22 Sam Steingold <sds@gnu.org>
4318 * progmodes/sql.el (sql-product-alist): Improve the Vertica entry.
4319 (sql-execute): Use `special-mode'.
4321 2014-09-22 Stefan Monnier <monnier@iro.umontreal.ca>
4323 Add pcase-defmacro, as well as `quote' and `app' patterns.
4324 * loadup.el: Increase max-lisp-eval-depth when macroexpanding macroexp.
4325 * emacs-lisp/pcase.el: Allow (F . ARGS) in `app' patterns.
4326 (pcase--funcall, pcase--eval): New functions.
4327 (pcase--u1): Use them for guard, pred, let, and app.
4328 (\`): Use the new feature to generate better code for vector patterns.
4329 * emacs-lisp/pcase.el: Use pcase-defmacro to handle backquote.
4330 (pcase--upat): Remove.
4331 (pcase--macroexpand): Don't hardcode handling of `.
4332 (pcase--split-consp, pcase--split-vector): Remove.
4333 (pcase--split-equal): Disregard ` since it's expanded away.
4334 (pcase--split-member): Optimize for quote rather than for `.
4335 (pcase--split-pred): Optimize for quote rather than for `.
4336 (pcase--u1): Remove handling of ` (and of `or' and `and').
4337 Quote non-selfquoting values when passing them to `eq'.
4338 Drop `app's let-binding if the variable is not used.
4339 (pcase--q1): Remove.
4340 (`): Define as a pattern macro.
4341 * emacs-lisp/pcase.el (pcase--match): New smart-constructor function.
4342 (pcase--expand pcase--q1, pcase--app-subst-match): Use it.
4343 (pcase--macroexpand): Handle self-quoting patterns here, expand them to
4345 (pcase--split-match): Don't hoist or/and here any more.
4346 (pcase--split-equal): Optimize quote patterns as well as ` patterns.
4347 (pcase--flip): New helper macro.
4348 (pcase--u1): Optimize the memq case directly.
4349 Don't handle neither self-quoting nor and/or patterns any more.
4350 * emacs-lisp/pcase.el (pcase-defmacro): New macro.
4351 (pcase--macroexpand): New function.
4352 (pcase--expand): Use it.
4353 * emacs-lisp/pcase.el (pcase--app-subst-match, pcase--app-subst-rest):
4354 New optimization functions.
4355 (pcase--u1): Add support for `quote' and `app'.
4356 (pcase): Document them in the docstring.
4358 2014-09-22 Stefan Monnier <monnier@iro.umontreal.ca>
4360 Use lexical-bindin in Ibuffer.
4361 * ibuffer.el (ibuffer-do-toggle-read-only): `arg' is unused.
4362 (ibuffer-compile-format): Simplify.
4363 (ibuffer-clear-summary-columns): Simplify.
4364 * ibuf-ext.el (ibuffer-generate-filter-groups): Don't use the third
4365 elem of dotimes when we don't refer to the iteration var from it.
4366 (ibuffer-toggle-sorting-mode): Avoid add-to-list.
4367 * ibuf-macs.el (define-ibuffer-column, define-ibuffer-op):
4368 Silence byte-compiler.
4370 2014-09-22 Stefan Monnier <monnier@iro.umontreal.ca>
4372 * font-lock.el (font-lock-compile-keyword): Don't confuse a lambda
4373 expression for a list.
4375 * emacs-lisp/bytecomp.el (byte-compile-lambda): Don't add fundoc usage
4376 for functions with no arguments.
4378 * mpc.el (mpc-data-directory): Use locate-user-emacs-file.
4379 (mpc-volume-refresh): Make sure the corresponding header-line is updated.
4381 2014-09-17 Tom Willemse <tom@ryuslash.org> (tiny change)
4383 * simple.el (clone-indirect-buffer): Mention the return value
4386 * progmodes/prog-mode.el (prog-mode-hook): Replace reference to
4387 Text mode in docstring (bug#18464).
4389 2014-09-21 Stefan Monnier <monnier@iro.umontreal.ca>
4391 * progmodes/perl-mode.el (perl-syntax-propertize-function):
4392 Accept underscores in identifiers after "sub" (bug#18502).
4394 2014-09-21 Tassilo Horn <tsdh@gnu.org>
4396 * textmodes/reftex-sel.el (reftex-select-label-mode)
4397 (reftex-select-bib-mode, reftex-insert-docstruct): Derive modes
4398 from special-mode (instead of fundamental-mode) and propertize
4399 with font-lock-face instead of just face. (Bug#18496)
4401 * textmodes/reftex-toc.el (reftex-toc-mode, reftex-toc): Ditto.
4403 2014-09-19 Dmitry Gutov <dgutov@yandex.ru>
4405 * emacs-lisp/lisp.el (lisp-completion-at-point): Only calculate
4406 `table-etc' when `end' is non-nil.
4407 (lisp-completion-at-point): Move `end' back if it's after quote.
4408 If in comment or string, only complete when after backquote.
4410 (lisp-completion-at-point): Don't use
4411 `lisp--local-variables-completion-table' in the
4412 `lisp--form-quoted-p' case.
4414 2014-09-19 Dmitry Gutov <dgutov@yandex.ru>
4416 * emacs-lisp/lisp.el (lisp--expect-function-p)
4417 (lisp--form-quoted-p): New functions.
4418 (lisp-completion-at-point): Use them to see if we're completing a
4419 variable reference, a function name, or just any symbol.
4420 http://lists.gnu.org/archive/html/emacs-devel/2014-02/msg00229.html
4422 2014-09-18 Ivan Kanis <ivan@kanis.fr>
4424 * net/shr.el, net/eww.el: Don't override `shr-width', but
4425 introduce a new variable `shr-internal-width'. This allows users
4426 to specify a width themselves.
4428 2014-09-18 Lars Magne Ingebrigtsen <larsi@gnus.org>
4430 * image-mode.el (image-toggle-display-image): If we have a
4431 `fit-width' or a `fit-height', don't limit the size of the image
4432 to the window size, because that doesn't preserve the aspect ratio.
4433 * image-mode.el: Move defvars earlier to avoid a byte-compilation
4436 2014-09-17 Reuben Thomas <rrt@sc3d.org>
4438 * progmodes/js.el: Add interpreter-mode-alist support for various
4439 JavaScript interpreters.
4441 2014-09-17 Paul Eggert <eggert@cs.ucla.edu>
4443 Don't assume 'grep' supports GREP_OPTIONS.
4444 The GREP_OPTIONS environment variable is planned to be marked
4445 obsolescent in GNU grep, due to problems in its use, so stop
4447 * progmodes/grep.el (grep-highlight-matches): Document this.
4448 (grep-process-setup): Do not set GREP_OPTIONS.
4449 (grep-compute-defaults): Use an explicit --color option if supported.
4451 2014-09-16 Stefan Monnier <monnier@iro.umontreal.ca>
4453 * msb.el (msb--make-keymap-menu, msb-menu-bar-update-buffers):
4454 Don't add outdated key-shortcut cache (bug#18482).
4456 2014-09-15 Glenn Morris <rgm@gnu.org>
4458 * image.el (image-multi-frame-p): Fix thinko - do not force
4459 a delay if none was specified. (Bug#18334)
4461 2014-09-15 Kan-Ru Chen <kanru@kanru.info>
4463 * window.el (fit-window-to-buffer): Doc fix.
4465 2014-09-15 Ivan Shmakov <ivan@siamics.net>
4467 * desktop.el (desktop-create-buffer): Check that buffers are still live
4468 before burying them (bug#18373).
4470 2014-09-15 Glenn Morris <rgm@gnu.org>
4472 * calendar/diary-lib.el (diary-list-entries):
4473 Restore 24.3 display behavior. (Bug#18381)
4475 2014-09-15 Eli Zaretskii <eliz@gnu.org>
4477 * mouse.el (mouse-drag-line): On text-mode frames, count the mode
4478 line and header line as 1 pixel. This fixes the 1-"pixel" (row)
4479 discrepancy between window-pixel-edges and mouse events, and
4480 avoids moving mode line up when the mouse click is on the modeline
4481 and no drag is attempted.
4483 2014-09-14 Daniel Colascione <dancol@dancol.org>
4485 * register.el (insert-register): Change default interactive
4488 2014-09-14 Michael Albinus <michael.albinus@gmx.de>
4490 * net/tramp-cache.el (tramp-flush-file-function): Simplify check.
4491 Suppress debug messages.
4493 * net/tramp.el (tramp-file-name-handler):
4494 * net/tramp-gvfs.el (tramp-gvfs-url-file-name): Apply `cons' where
4497 2014-09-13 Christopher Schmidt <ch@ristopher.com>
4499 * calendar/calendar.el (calendar-update-mode-line):
4500 Do not overwrite mode-line-format if calendar-mode-line-format is
4503 2014-09-13 Leo Liu <sdl.web@gmail.com>
4505 * emacs-lisp/pcase.el (pcase--dontwarn-upats): New var.
4506 (pcase--expand): Use it.
4507 (pcase-exhaustive): New macro. (Bug#16567)
4509 * emacs-lisp/lisp-mode.el (lisp-el-font-lock-keywords-2):
4510 Add pcase-exhaustive.
4512 2014-09-13 Eli Zaretskii <eliz@gnu.org>
4514 * mail/rmailmm.el (rmail-mime-insert-html): Decode the HTML part
4515 using the specified transfer-encoding, if any, or 'undecided'.
4516 (rmail-mime-render-html-shr): Bind shr-width to nil, so lines are
4517 broken at the window margin.
4519 2013-12-27 Ken Olum <kdo@cosmos.phy.tufts.edu>
4521 Support rendering of HTML parts in Rmail (bug#4258).
4522 * mail/rmailmm.el (rmail-mime-process): Handle text/html
4523 separately from other text/ types. Suppress tagline for
4525 (rmail-mime-parse): Don't change visibility of tagline here.
4526 (rmail-mime-set-bulk-data, rmail-mime-insert-bulk):
4527 Handle text/html specially.
4528 (rmail-mime-render-html-function,rmail-mime-prefer-html): New variables.
4529 (rmail-mime-insert-html, rmail-mime-render-html-shr)
4530 (rmail-mime-render-html-lynx): New functions.
4531 (rmail-mime-fix-inserted-faces): New function.
4532 (rmail-mime-process-multipart): Find the best part to show
4533 following rmail-mime-prefer-html if set.
4534 (rmail-mime-searching): New variable.
4535 (rmail-search-mime-message): Bind rmail-mime-searching to
4536 suppress rendering while searching.
4538 2014-09-12 Sam Steingold <sds@gnu.org>
4540 * progmodes/sql.el (sql-product-alist): Add vertica.
4541 (sql-vertica-program, sql-vertica-options)
4542 (sql-vertica-login-params, sql-comint-vertica, sql-vertica):
4543 New functions and variables to support Vertica.
4544 Inspired by code by Roman Scherer <roman@burningswell.com>.
4546 2014-09-11 Paul Eggert <eggert@cs.ucla.edu>
4548 * ses.el (ses-file-format-extend-parameter-list): Rename from
4549 ses-file-format-extend-paramter-list, to correct a misspelling.
4552 2014-09-10 Alan Mackenzie <acm@muc.de>
4554 CC Mode: revert recent changes and fix bug 17463 (cc-langs.elc
4555 gets loaded at run-time).
4556 * progmodes/cc-langs.el (c-no-parens-syntax-table): Rename the
4557 c-lang-const to c-make-no-parens-syntax-table and correct the
4559 (c-no-parens-syntax-table): Correct the logic of the
4562 2014-09-10 Stefan Monnier <monnier@iro.umontreal.ca>
4564 CC-mode: Set open-paren-in-column-0-is-defun-start to nil;
4566 * progmodes/cc-mode.el (c-basic-common-init):
4567 Set open-paren-in-column-0-is-defun-start.
4568 (adaptive-fill-first-line-regexp, font-lock-syntactic-keywords):
4569 Remove declarations, unused.
4570 (run-mode-hooks): Remove declaration.
4571 (font-lock-defaults): Use plain `defvar' to declare.
4572 (c-run-mode-hooks): Test existence of run-mode-hooks with fboundp.
4573 * progmodes/cc-langs.el (c-filter-ops): Avoid `setq'.
4574 (c-make-mode-syntax-table): Don't micro-optimize.
4575 (c-keywords, c-keyword-member-alist): Simplify.
4576 (c-kwds-lang-consts): Don't eval at compile-time.
4577 (c-primary-expr-regexp): Comment out unused vars.
4578 * progmodes/cc-fonts.el (c-font-lock-context): Declare at top-level.
4579 (c-font-byte-compile): New var.
4580 (c--compile): New function. Use it instead of `byte-compile'.
4581 (c-cpp-matchers): Quote the value returned by
4582 `c-make-syntactic-matcher' in case it's not self-evaluating.
4583 (c-basic-matchers-before): Avoid a plain MATCHER as keyword, wrap it in
4584 parentheses instead (in case MATCHER happens to be a list).
4585 (c-font-lock-enum-tail): Remove unused var `start'.
4586 (c-font-lock-objc-methods): Silence byte-compiler warnings.
4587 * progmodes/cc-engine.el (c-syntactic-re-search-forward): Sink an `if'
4588 test into an argument.
4589 * progmodes/cc-defs.el (c-point, c-major-mode-is, c-put-char-property)
4590 (c-get-char-property): Don't use `eval' just to unquote a constant.
4591 (c-use-extents): Remove. Use (featurep 'xemacs), compiled
4593 (c-put-char-property-fun): Don't call `byte-compile' by hand.
4594 (c-clear-char-property, c-clear-char-properties): Check that `property'
4595 is a quoted constant.
4596 (c-emacs-features): Remove `infodock', `syntax-properties', and
4597 `pps-extended-state' (never used), `8-bit' and `1-bit' (use (featurep
4598 'xemacs) instead). Use `with-temp-buffer' and let-bind vars after
4599 changing buffer, so we don't have to setq them again afterwards.
4600 (c-lang-const): Remove redundant symbolp assertions.
4601 (c-find-assignment-for-mode): Use `or'.
4602 * Makefile.in (compile-one-process): Remove cc-mode dependency.
4604 2014-09-09 Sam Steingold <sds@gnu.org>
4606 * progmodes/sql.el (sql-default-directory): Fix type annotation.
4608 2014-09-09 Stefan Monnier <monnier@iro.umontreal.ca>
4610 * progmodes/cc-awk.el: Remove unneeded cc-bytecomp use.
4611 Change doc comments into docstrings.
4612 * Makefile.in: Remove cc-awk dependency.
4614 2014-09-08 Sam Steingold <sds@gnu.org>
4616 * progmodes/sql.el (sql-send-line-and-next): New command,
4618 (sql-show-sqli-buffer): Display the buffer instead of its name and
4619 bind the command to C-c C-z.
4620 (sql-default-directory): New user option.
4621 (sql-product-interactive): Bind `default-directory' to it to
4622 enable remote connections using Tramp.
4623 (sql-set-sqli-buffer): Call `sql-product-interactive' when no
4624 suitable buffer is available.
4626 2014-09-08 Glenn Morris <rgm@gnu.org>
4628 * calendar/calendar.el (calendar-basic-setup):
4629 Fix calendar-view-holidays-initially-flag and fancy display.
4630 * calendar/diary-lib.el (diary-live-p): Doc fix.
4632 * calendar/calendar.el (calendar-basic-setup):
4633 Avoid clobbering calendar with diary. (Bug#18381)
4635 2014-09-08 Stefan Monnier <monnier@iro.umontreal.ca>
4637 * vc/vc-dir.el (vc-dir-update): Don't burp in corner case.
4639 2014-09-08 Lars Ljung <lars@matholka.se> (tiny change)
4641 * isearch.el (isearch-yank-word-or-char): Obey superword-mode
4642 as well (bug#18400).
4644 2014-09-08 Eli Zaretskii <eliz@gnu.org>
4646 * subr.el (posn-actual-col-row): Doc fix. (Bug#18385)
4648 2014-09-06 Leo Liu <sdl.web@gmail.com>
4650 * emacs-lisp/pcase.el (pcase): Doc fix.
4651 (pcase--split-vector): New function.
4652 (pcase--q1): Support vector qpattern. (Bug#18327)
4654 2014-09-05 Sam Steingold <sds@gnu.org>
4656 * textmodes/tex-mode.el (tex-print-file-extension): New user
4658 (tex-print): Use it instead of the hard-coded string.
4660 2014-09-05 Michael Albinus <michael.albinus@gmx.de>
4662 * net/tramp-sh.el (tramp-sh-handle-start-file-process):
4663 Expand `default-directory'.
4665 2014-09-05 Martin Rudalics <rudalics@gmx.at>
4667 * scroll-bar.el (horizontal-scroll-bars-available-p):
4669 (horizontal-scroll-bar-mode): Rewrite using
4670 horizontal-scroll-bars-available-p.
4671 * menu-bar.el (menu-bar-showhide-scroll-bar-menu): Rewrite using
4672 horizontal-scroll-bars-available-p.
4674 2014-09-05 Stefan Monnier <monnier@iro.umontreal.ca>
4676 * subr.el (call-process-shell-command, process-file-shell-command):
4677 Make the `args' obsolete (bug#18409).
4678 (start-process-shell-command, start-file-process-shell-command):
4681 2014-09-05 Jay Belanger <jay.p.belanger@gmail.com>
4683 * calc/calc-forms.el (math-normalize-hms): Do a better check for
4684 "negative" hms forms.
4686 2014-09-04 Rasmus Pank Roulund <emacs@pank.eu>
4688 * vc/vc-git.el (vc-git-conflicted-files): Fix bug when git status
4689 returns nil (bug#18391).
4691 2014-09-04 Stefan Monnier <monnier@iro.umontreal.ca>
4693 * emacs-lisp/eldoc.el (eldoc-function-argstring): Don't strip
4694 terminating paren (bug#18352).
4695 (eldoc-last-data-store): Return cached data.
4696 (eldoc-get-var-docstring): Avoid setq.
4697 (eldoc-get-fnsym-args-string): Clarify data flow.
4699 2014-09-04 Thierry Volpiatto <thierry.volpiatto@gmail.com>
4701 * emacs-lisp/eldoc.el (eldoc-highlight-function-argument): Handle the
4702 case where we're currently providing part of the &rest arg after some
4703 &key args, as in define-ibuffer-op (bug#18048).
4705 2014-09-03 Stefan Monnier <monnier@iro.umontreal.ca>
4707 * progmodes/which-func.el (which-func-ff-hook): Obey pre-existing
4708 buffer-local setting of which-func-mode.
4709 (which-func-mode): Use defvar-local.
4710 (which-function-mode): Don't reset which-func-mode in each buffer since
4711 it might have been set by someone else.
4712 (which-func-update-ediff-windows): Check which-function-mode.
4714 2014-09-03 Martin Rudalics <rudalics@gmx.at>
4716 * frame.el (frame-initialize): Remove horizontal-scroll-bars
4717 from frame-initial-frame-alist.
4718 * scroll-bar.el (previous-horizontal-scroll-bar-mode)
4719 (horizontal-scroll-bar-mode-explicit)
4720 (set-horizontal-scroll-bar-mode, get-horizontal-scroll-bar-mode)
4721 (toggle-horizontal-scroll-bar): Remove.
4722 (horizontal-scroll-bar-mode): Remove defcustom.
4723 (horizontal-scroll-bar-mode): Fix doc-string.
4724 (scroll-bar-toolkit-scroll)
4725 (scroll-bar-toolkit-horizontal-scroll): Add doc-strings stubs.
4727 2014-09-03 Stefan Monnier <monnier@iro.umontreal.ca>
4729 * emacs-lisp/package.el (package-generate-description-file):
4730 Properly quote the arguments (bug#18332). Change second arg.
4731 (package--alist-to-plist-args): Rename from package--alist-to-plist and
4733 (package--make-autoloads-and-stuff): Fix the test for pre-existence of
4734 the *-pkg.el file. Adjust to new calling convention of
4735 package-generate-description-file.
4737 * progmodes/gud.el (gud-gdb-completion-at-point): Add hack (bug#18282).
4738 (gud-gdb-completions): Remove obsolete workaround.
4740 2014-09-03 Eli Zaretskii <eliz@gnu.org>
4742 * subr.el (posn-col-row): Revert the change from commit
4743 2010-11-13T21:07:58Z!eliz@gnu.org, which
4744 was inadvertently merged from emacs-23 release branch in 2010-11-18T03:54:14Z!monnier@iro.umontreal.ca
4745 monnier@iro.umontreal.ca-20101118035414-yvlg7k7dk4k4l3q, and
4746 introduced an off-by-one error in the reported row when there is a
4747 header line. (Bug#18384)
4749 2014-09-03 Fabián Ezequiel Gallina <fgallina@gnu.org>
4751 * progmodes/python.el (python-indent-post-self-insert-function):
4752 Avoid electric colon at beginning-of-defun. (Bug#18228)
4754 2014-09-03 Glenn Morris <rgm@gnu.org>
4756 * tutorial.el (tutorial--display-changes):
4757 Fix 2014-08-01 change. (Bug#18382)
4759 2014-09-03 Ken Brown <kbrown@cornell.edu>
4761 * startup.el (fancy-splash-frame): Extend the fix for Bug#16014 to
4762 the Cygwin-w32 build. (Bug#18347)
4764 2014-09-03 Glenn Morris <rgm@gnu.org>
4766 * tar-mode.el (tar--extract, tar-extract):
4767 Avoid permanently disabling undo in extracted buffers. (Bug#18344)
4769 2014-09-03 Stefan Monnier <monnier@iro.umontreal.ca>
4771 * progmodes/sh-script.el (sh-font-lock-quoted-subshell): Try to better
4772 handle multiline elements (bug#18380).
4774 2014-09-01 Eli Zaretskii <eliz@gnu.org>
4776 * ls-lisp.el (ls-lisp-use-string-collate)
4777 (ls-lisp-UCA-like-collation): New defcustoms.
4778 (ls-lisp-string-lessp): Use them to control sorting by file
4780 (ls-lisp-version-lessp): New function.
4781 (ls-lisp-handle-switches): Use it to implement the -v switch of
4783 (ls-lisp--insert-directory): Mention the -v switch in the doc string.
4785 2014-08-31 Christoph Scholtes <cschol2112@gmail.com>
4787 * ibuffer.el: Replace mode-specific quit function with
4788 `quit-window' via `special-mode'.
4789 (ibuffer-mode-map): Use keybindings from special-mode-map instead
4791 (ibuffer): Don't store previous windows configuration.
4792 Let `quit-window' handle restoring.
4793 (ibuffer-quit): Remove function. Use `quit-window' instead.
4794 (ibuffer-restore-window-config-on-quit): Remove variable.
4795 (ibuffer-prev-window-config): Remove variable.
4797 2014-08-29 Michael Heerdegen <michael_heerdegen@web.de>
4799 * emacs-lisp/easy-mmode.el (define-minor-mode): Use mode function
4800 name instead of variable name in hook docstring. (Bug#18349)
4802 2014-08-29 Martin Rudalics <rudalics@gmx.at>
4804 * window.el (display-buffer-at-bottom): Prefer bottom-left
4805 window to other bottom windows. Reuse a bottom window if it
4806 shows the buffer already. Suggested by Juri Linkov
4807 <juri@jurta.org> in discussion of (Bug#18181).
4809 2014-08-29 Leo Liu <sdl.web@gmail.com>
4811 * files.el (minibuffer-with-setup-hook): Allow (:append FUN) to
4812 append to minibuffer-setup-hook. (Bug#18341)
4814 2014-08-28 Stefan Monnier <monnier@iro.umontreal.ca>
4816 * progmodes/cc-defs.el: Expose c-lanf-defconst's expressions to the
4818 (lookup-syntax-properties): Silence byte-compiler.
4819 (c-lang-defconst): Quote the code with `lambda' rather than with
4821 (c-lang-const): Avoid unneeded setq.
4822 (c-lang-constants-under-evaluation): Add docstring.
4823 (c-lang--novalue): New constant.
4824 (c-find-assignment-for-mode): Use it instead of c-lang-constants.
4825 (c-get-lang-constant): Same here.
4826 Get the mode's value using `funcall' now that the code is quoted
4829 2014-08-28 Michael Albinus <michael.albinus@gmx.de>
4831 * net/tramp.el (tramp-handle-shell-command): Use `display-buffer'.
4834 2014-08-28 Martin Rudalics <rudalics@gmx.at>
4836 * scroll-bar.el (scroll-bar-horizontal-drag-1): Handle new
4837 interpretation of `portion-whole'.
4839 2014-08-28 Michael Albinus <michael.albinus@gmx.de>
4841 * net/tramp-adb.el: Spell author name correctly.
4843 2014-08-28 João Távora <joaotavora@gmail.com>
4845 * net/shr.el (shr-expand-url): Plain expand-file-name is not enough;
4846 use url-expand-file-name. (Bug#18310)
4848 2014-08-28 Glenn Morris <rgm@gnu.org>
4850 * emulation/cua-rect.el (cua--highlight-rectangle):
4851 Avoid error at point-min. (Bug#18309)
4853 2014-08-28 Stefan Monnier <monnier@iro.umontreal.ca>
4855 * progmodes/python.el (python-shell-prompt-detect): Remove redundant
4856 executable-find (bug#18244).
4858 * simple.el (self-insert-uses-region-functions): Defvar.
4860 2014-08-28 Glenn Morris <rgm@gnu.org>
4862 * subr.el (remq): Revert 2014-08-25 doc change (not always true).
4864 2014-08-27 Dmitry Antipov <dmantipov@yandex.ru>
4866 * startup.el (normal-top-level): Now use internal--top-level-message.
4868 2014-08-26 Dmitry Antipov <dmantipov@yandex.ru>
4870 * startup.el (normal-top-level): Use top-level-message.
4872 2014-08-25 Lars Magne Ingebrigtsen <larsi@gnus.org>
4874 * net/shr.el (shr-copy-url): Encode copied URL to avoid getting
4875 URLs containing spaces and the like.
4877 2014-08-25 Christoph Scholtes <cschol2112@gmail.com>
4879 * subr.el (remq): Fix docstring (Bug#18253).
4881 2014-08-25 Christoph Scholtes <cschol2112@gmail.com>
4883 * replace.el (query-replace): Fix typo in docstring (Bug#18320).
4885 2014-08-24 Alan Mackenzie <acm@muc.de>
4887 Handle C++11's "auto" and "decltype" constructions.
4888 * progmodes/cc-engine.el (c-forward-type): Enhance to recognise
4889 and return 'decltype.
4890 (c-forward-decl-or-cast-1): New let variables backup-kwd-sym,
4891 prev-kwd-sym, new-style-auto. Enhance to handle the new "auto"
4893 * progmodes/cc-fonts.el (c-font-lock-declarations): Handle the
4895 (c-font-lock-c++-new): Handle "decltype" constructions.
4896 * progmodes/cc-langs.el (c-auto-ops, c-auto-ops-re):
4897 New c-lang-defconsts/defvars.
4898 (c-haskell-op, c-haskell-op-re): New c-lang-defconsts/defvars.
4899 (c-typeof-kwds, c-typeof-key): New c-lang-defconsts/defvars.
4900 (c-typeless-decl-kwds): Append "auto" onto the C++ value.
4901 (c-not-decl-init-keywords): Also exclude c-typeof-kwds from value.
4903 Make ">>" act as double template ender in C++ Mode. (Bug#11386)
4904 * progmodes/cc-langs.el (c->-op-cont-tokens): New lang-const split
4905 off from c->-op-cont-re.
4906 (c->-op-cont-tokens): Change to use the above.
4907 (c->-op-without->-cont-regexp): New lang-const.
4908 * progmodes/cc-engine.el (c-forward-<>-arglist-recur):
4909 Use c->-op-without->-cont-regexp in place of c->-op-cont-tokens.
4912 2014-08-23 Alan Mackenzie <acm@muc.de>
4914 * progmodes/cc-fonts.el (c-font-lock-declarators): Fix infinite
4915 loop, bug #18306. The bug was introduced on 2014-08-02.
4917 2014-08-21 Eli Zaretskii <eliz@gnu.org>
4919 * textmodes/texnfo-upd.el (texinfo-specific-section-type):
4920 Don't recognize a Top node if there are other sectioning commands
4921 earlier in the Texinfo file. This fixes a bug in
4922 texinfo-make-menu and avoids inflooping in
4923 texinfo-all-menus-update when they are invoked on texinfo.texi.
4925 2014-08-21 Martin Rudalics <rudalics@gmx.at>
4927 * window.el (window--side-window-p): New function.
4928 (split-window, window-splittable-p): Use window--side-window-p to
4929 determine whether WINDOW can be split (Bug#18304).
4930 * calendar/calendar.el (calendar-basic-setup): Fix one call of
4931 `window-splittable-p' and add another (Bug#18304).
4933 2014-08-20 Sam Steingold <sds@gnu.org>
4935 * progmodes/python.el (python-new-pythonpath): Extract from
4936 `python-shell-calculate-process-environment'.
4938 2014-08-18 Thierry Volpiatto <thierry.volpiatto@gmail.com>
4940 * emacs-lisp/eldoc.el (eldoc-highlight-function-argument): Add support
4941 for &key args (bug#18048).
4943 2014-08-18 Stefan Monnier <monnier@iro.umontreal.ca>
4945 * emacs-lisp/eldoc.el (eldoc-argument-case): Obsolete and change default.
4946 (eldoc-function-argstring-format): Remove.
4947 (eldoc-function-argstring): Always return upcase args.
4948 Use help-make-usage. Don't add parens.
4949 (eldoc-get-fnsym-args-string): Don't obey eldoc-argument-case since
4950 it's too late to do it right (bug#18048).
4952 2014-08-18 Eli Zaretskii <eliz@gnu.org>
4954 * scroll-bar.el (scroll-bar-horizontal-drag-1)
4955 (scroll-bar-toolkit-horizontal-scroll): When determining the
4956 paragraph direction, use the buffer of the window designated in
4959 2014-08-16 Andreas Schwab <schwab@linux-m68k.org>
4961 * vc/diff-mode.el (diff-fixup-modifs): Handle empty line in
4962 context of unified diff.
4964 2014-08-16 Paul Eggert <eggert@cs.ucla.edu>
4966 Add dependencies to fix loaddefs race during parallel builds.
4967 Without this, for example, 'make -j bootstrap' can fail and report
4968 "Opening input file: no such file or directory,
4969 .../lisp/calendar/diary-loaddefs.el ... recipe for target
4970 'calendar/hol-loaddefs.el' failed", where the hol-loaddefs.el rule
4971 got confused because diary-loaddefs.el was being built in parallel.
4972 * Makefile.in ($(CAL_DIR)/diary-loaddefs.el):
4973 Depend on $(CAL_DIR)/cal-loaddefs.el.
4974 ($(CAL_DIR)/hol-loaddefs.el): Depend on $(CAL_DIR)/diary-loaddefs.el.
4976 2014-08-16 Martin Rudalics <rudalics@gmx.at>
4978 * scroll-bar.el (scroll-bar-horizontal-drag-1): Use cdr of
4979 portion-whole for scrolling right-to-left text.
4981 2014-08-15 Leo Liu <sdl.web@gmail.com>
4983 * speedbar.el (speedbar-generic-list-tag-p): Allow special
4984 elements from imenu.
4986 2014-08-15 Glenn Morris <rgm@gnu.org>
4988 * subr.el (with-output-to-temp-buffer): Doc fix; from elisp manual.
4990 2014-08-13 Jan Nieuwenhuizen <janneke@gnu.org>
4992 * progmodes/compile.el (compilation-error-regexp-alist-alist):
4993 Add Guile regexpses.
4995 2014-08-13 Jan Nieuwenhuizen <janneke@gnu.org>
4997 * progmodes/gud.el (guiler): New function. Starts the Guile REPL;
4998 add Guile debugger support for GUD.
5000 2014-08-13 Stefan Monnier <monnier@iro.umontreal.ca>
5002 * obsolete/mouse-sel.el (mouse-sel-mode): Use add/remove-function.
5003 (mouse-sel--ignore): New function.
5004 (mouse-sel-has-been-enabled, mouse-sel-original-bindings)
5005 (mouse-sel-original-interprogram-cut-function)
5006 (mouse-sel-original-interprogram-paste-function): Remove.
5008 2014-08-13 Eric S. Raymond <esr@thyrsus.com>
5010 * vc/vc-git.el (vc-git-resolve-when-done): New function.
5011 Call "git add" when there are no longer conflict markers.
5013 2014-08-13 Rüdiger Sonderfeld <ruediger@c-plusplus.de>
5015 * vc/vc-git.el (vc-git-find-file-hook): New function.
5016 Adds support for calling smerge (and resolve) on a conflicted file.
5017 (vc-git-conflicted-files): New function.
5018 Useful in itself and a step towards better smerge support.
5020 2014-08-12 Stefan Monnier <monnier@iro.umontreal.ca>
5022 * mpc.el (mpc-reorder): Don't bother splitting the "active" elements
5023 to the first part if they're the same as the selection.
5025 2014-08-12 Lars Magne Ingebrigtsen <larsi@gnus.org>
5027 * image-mode.el (image-transform-reset): New command and menu item.
5028 (image-mode-map): Rearrange the menu items to put presumably more
5029 obscure items at the end.
5031 2014-08-12 Juri Linkov <juri@jurta.org>
5033 * vc/vc-annotate.el (vc-annotate-background-mode):
5034 Use `with-demoted-errors' instead of `ignore-errors'. (Bug#18189)
5036 2014-08-12 Stefan Monnier <monnier@iro.umontreal.ca>
5038 * files.el (out-of-memory-warning-percentage): Turn it off by default.
5040 2014-08-11 Sam Steingold <sds@gnu.org>
5042 * textmodes/sgml-mode.el (sgml-validate-command): Set depending on
5043 the presence of known validators (tidy, (o)nsgmls).
5045 2014-08-11 Ulf Jasper <ulf.jasper@web.de>
5047 Newsticker: introduce `newsticker-treeview-date-format'. (Bug#17227)
5048 * net/newst-treeview.el (newsticker-treeview-date-format): New.
5049 (newsticker--treeview-list-add-item):
5050 Use `newsticker-treeview-date-format'.
5052 2014-08-11 Glenn Morris <rgm@gnu.org>
5054 * files.el (basic-save-buffer-2): Revert 2013-01-31 change, which
5055 chose coding system for writing before backing up, since it causes
5056 a more serious problem than the one it solves. (Closes Bug#18141,
5059 2014-08-11 Martin Rudalics <rudalics@gmx.at>
5061 * window.el (window-total-size): Make doc-string more self-contained.
5063 * window.el (display-buffer-below-selected): Restore original
5064 behavior if buffer is already displayed in the window below the
5065 selected one (Bug#18181).
5067 2014-08-11 Stefan Monnier <monnier@iro.umontreal.ca>
5069 * mouse.el (mouse--down-1-maybe-follows-link): Don't convert the down
5072 2014-08-11 Eli Zaretskii <eliz@gnu.org>
5074 * info.el (info): Doc fix.
5076 2014-08-11 Stefan Monnier <monnier@iro.umontreal.ca>
5078 * info.el (Info-mode-map): Override a global down-mouse-2 binding
5081 2014-08-11 Eli Zaretskii <eliz@gnu.org>
5083 * simple.el (default-line-height): A floating-point value of
5084 line-spacing means a fraction of the default frame font's height,
5085 not of the font currently used by the 'default' face.
5086 Truncate the pixel value, like the display engine does.
5087 (window-screen-lines): Use window-inside-pixel-edges for
5088 determining the window height in pixels. (Bug#18195)
5090 2014-08-11 Grégoire Jadi <daimrod@gmail.com>
5092 * leim/quail/latin-post.el: Transform " __" into " _". (Bug#18023)
5094 2014-08-10 Ulf Jasper <ulf.jasper@web.de>
5096 Enumerate evaluated sexp diary entries (Bug#7911).
5097 * calendar/icalendar.el (icalendar-export-sexp-enumerate-all)
5098 (icalendar-export-sexp-enumeration-days): New.
5099 (icalendar-export-region): Now `icalendar--convert-to-ical'
5100 returns a cons cell or a list of cons cells.
5101 (icalendar--convert-to-ical): Take care of
5102 `icalendar-export-sexp-enumerate-all'. Return (a list of) cons cells.
5103 (icalendar--convert-ordinary-to-ical)
5104 (icalendar--convert-weekly-to-ical, icalendar--convert-yearly-to-ical)
5105 (icalendar--convert-block-to-ical, icalendar--convert-block-to-ical)
5106 (icalendar--convert-float-to-ical, icalendar--convert-cyclic-to-ical)
5107 (icalendar--convert-anniversary-to-ical): Return cons cell.
5108 (icalendar--convert-sexp-to-ical): Enumerate evaluated sexp
5109 entries. Return (list of) cons cells.
5111 2014-08-09 Juri Linkov <juri@jurta.org>
5113 * vc/vc-annotate.el (vc-annotate-background-mode): Add :set
5114 to reevaluate `vc-annotate-color-map'. (Bug#18189)
5116 2014-08-09 Alan Mackenzie <acm@muc.de>
5118 * progmodes/cc-fonts.el (c-font-lock-declarators): Remove check
5119 for top-level that can cause unacceptable slow-down in scrolling.
5120 See email Subject: Huge {...} blocks in C/C++ again, from Dmitry
5121 Antipov from 2013-10-14 in emacs-devel.
5123 2014-08-08 Rüdiger Sonderfeld <ruediger@c-plusplus.de>
5125 * ibuffer.el (ibuffer-mode-map): Use toggle button for
5126 `ibuffer-auto-mode' menu entry.
5127 (ibuffer-mode-hook): Add `ibuffer-auto-mode' customization option.
5129 2014-08-08 Matthias Meulien <orontee@gmail.com>
5131 * progmodes/prog-mode.el (prog-mode-hook): Make customizable.
5134 2014-08-07 Martin Rudalics <rudalics@gmx.at>
5136 * window.el (window--min-size-1): Explicitly set WINDOW arg in
5137 calls of window-min-pixel-height and window-min-pixel-width.
5139 2014-08-07 Reuben Thomas <rrt@sc3d.org>
5141 * progmodes/ada-mode.el:
5142 * net/tramp.el (tramp-handle-file-symlink-p):
5143 * net/tramp-ftp.el (tramp-ftp-file-name-handler): Remove a comment
5144 about VMS, which we no longer support.
5145 * progmodes/ada-xref.el (ada-xref-current): Remove mention of VMS,
5146 and fix a FIXME, using convert-standard-filename in place of
5147 removed ada-convert-file-name.
5149 2014-08-07 Eli Zaretskii <eliz@gnu.org>
5151 * files.el (auto-mode-alist): Remove support for VMS from a pattern.
5153 2014-08-07 Reuben Thomas <rrt@sc3d.org>
5155 Refer to MS-DOS using the same name everywhere.
5156 * arc-mode.el, files.el, frame.el: ``MS-DOG'', ``MSDOG'' and
5157 ``msdog'' become ``MS-DOS''.
5159 2014-08-07 Michael Albinus <michael.albinus@gmx.de>
5161 * net/tramp-sh.el (tramp-do-copy-or-rename-file-out-of-band):
5162 Use cached "remote-copy-args" value, if available. (Bug#18199)
5164 2014-08-07 Leo Liu <sdl.web@gmail.com>
5166 * help.el (temp-buffer-setup-hook,temp-buffer-show-hook):
5167 Revert change on 2014-03-22.
5169 2014-08-06 Ulf Jasper <ulf.jasper@web.de>
5171 * calendar/icalendar.el (icalendar--diarytime-to-isotime)
5172 (icalendar--convert-ordinary-to-ical): Allow for missing minutes
5176 2014-08-05 Lars Magne Ingebrigtsen <larsi@gnus.org>
5178 * image-mode.el (image-toggle-display-image): Always rescale images
5179 to not be bigger than the current window.
5181 2014-08-05 Eric Brown <brown@fastmail.fm> (tiny change)
5183 * net/eww.el (eww-bookmarks-directory): New variable.
5184 (eww-write-bookmarks): Use it.
5185 (eww-read-bookmarks): Ditto.
5187 2014-08-05 Lars Magne Ingebrigtsen <larsi@gnus.org>
5189 * net/shr.el (shr-copy-url): Also copy the image URL.
5191 2014-08-05 Michael Albinus <michael.albinus@gmx.de>
5193 * net/tramp-cache.el (tramp-flush-file-function): Suppress function
5194 also for Tramp working buffers.
5196 2014-08-04 Fabián Ezequiel Gallina <fgallina@gnu.org>
5198 * progmodes/python.el: Fix completions inside (i)pdb.
5199 (python-shell-completion-pdb-string-code): Make obsolete.
5200 (python-shell-completion-get-completions):
5201 Use python-shell-completion-string-code resending setup code
5202 continuously for (i)pdb.
5204 2014-08-04 Paul Eggert <eggert@cs.ucla.edu>
5206 * rect.el (rectangle--default-line-number-format): Rename
5207 from misspelled rectange--default-line-number-format (Bug#18045).
5210 2014-08-03 Paul Eggert <eggert@cs.ucla.edu>
5212 Don't mishandle year-9999 dates (Bug#18176).
5213 * calendar/parse-time.el (parse-time-rules):
5214 Allow years up to most-positive-fixnum.
5215 * calendar/time-date.el (date-to-time):
5216 Pass "Specified time is not representable" errors through.
5218 2014-08-02 Fabián Ezequiel Gallina <fgallina@gnu.org>
5220 * progmodes/python.el: Completion code cleanups.
5221 (python-shell-completion-get-completions): Detect and send import
5222 statements directly to completion function.
5223 (python-shell-completion-at-point): Simplify prompt calculation
5224 and import vs input completion logic.
5226 2014-08-02 Alan Mackenzie <acm@muc.de>
5228 Fix confusion in C++ file caused by comma in "= {1,2},".
5230 * progmodes/cc-engine.el (c-beginning-of-statement-1): In checking
5231 for a statement boundary marked by "}", check there's no "="
5233 (c-guess-basic-syntax CASE 9B): Call c-beginning-of-statement with
5234 non-nil `comma-delim' argument.
5235 * progmodes/cc-fonts.el (c-font-lock-declarators): Parse an
5236 initializer expression more accurately.
5238 Correct loop termination condition in c-syntactic-skip-backward.
5239 * progmodes/cc-engine.el (c-syntactic-skip-backward): Correct for
5240 the situation where, after moving back out of a literal,
5241 skip-chars-backward doesn't move further, yet checks have still to
5244 2014-08-01 Eli Zaretskii <eliz@gnu.org>
5246 * tutorial.el (tutorial--display-changes): Accept punctuation
5247 characters before the key binding. (Bug#18146)
5249 2014-07-31 Fabián Ezequiel Gallina <fgallina@gnu.org>
5251 * progmodes/python.el: Shell output capture enhancements.
5252 (python-shell-accept-process-output): New function.
5253 (inferior-python-mode)
5254 (python-shell-send-setup-code): Use it.
5256 2014-07-30 Christophe Deleuze <christophe.deleuze@free.fr> (tiny change)
5258 * calendar/icalendar.el (icalendar--decode-isodatetime):
5259 Use actual current-time-zone when converting to local time. (Bug#15408)
5261 2014-07-29 Martin Rudalics <rudalics@gmx.at>
5263 * window.el (window--state-put-2): Handle horizontal scroll
5266 2014-07-29 Rüdiger Sonderfeld <ruediger@c-plusplus.de>
5268 * menu-bar.el (menu-bar-update-buffers): Update item list format
5269 in `buffers-menu' to confirm with changes to `get_keyelt'
5270 (r117463). (Bug#18016)
5272 2014-07-28 Fabián Ezequiel Gallina <fgallina@gnu.org>
5274 * progmodes/python.el (inferior-python-mode): Make input prompts
5277 2014-07-28 Emilio C. Lopes <eclig@gmx.net>
5279 * net/tramp-sh.el (tramp-get-remote-python): Also search for
5280 executables named "python2" or "python3".
5281 (tramp-get-remote-uid-with-python): Use parentheses around
5282 arguments to `print' to make it compatible with Python 3.
5283 (tramp-get-remote-gid-with-python): Ditto. (Bug#18118)
5285 2014-07-28 Eli Zaretskii <eliz@gnu.org>
5287 * window.el (window--pixel-to-total): Use FRAME's root window, not
5288 that of the selected frame. (Bug#18112, Bug#16674)
5290 2014-07-28 Andreas Schwab <schwab@linux-m68k.org>
5292 * textmodes/tex-mode.el (tex-font-lock-verb): Doc fix.
5295 2014-07-28 Fabián Ezequiel Gallina <fgallina@gnu.org>
5297 * progmodes/python.el (inferior-python-mode): Doc fix.
5299 2014-07-28 Stephen Berman <stephen.berman@gmx.net>
5301 * calendar/todo-mode.el (todo-edit-item--next-key): If next key is
5302 not a character, ignore it instead of raising an error.
5304 * calendar/todo-mode.el: Fix handling of marked items and make
5305 minor code improvements.
5306 (todo-edit-item): If there are marked items, ensure user can only
5307 invoke editing commands that work with marked items.
5308 (todo-edit-item--text): When there are marked items, make it a
5309 noop if invoked with point not on an item; otherwise, ensure it
5310 applies only to item at point.
5311 (todo-item-undone): If there are marked not-done items, return
5312 point to its original position before signaling user error.
5313 (todo--user-error-if-marked-done-item): New function.
5314 (todo-edit-item--header, todo-edit-item--diary-inclusion)
5315 (todo-item-done): Use it.
5317 2014-07-28 Glenn Morris <rgm@gnu.org>
5319 * files.el (toggle-read-only): Re-add basic doc-string.
5320 * vc/vc-hooks.el (vc-toggle-read-only): Tweak obsolescence mesage.
5322 * progmodes/prolog.el (prolog-mode-keybindings-edit):
5323 Replace missing `switch-to-prolog' with `run-prolog'.
5324 (switch-to-prolog): Define as (obsolete) alias, as in 23.4.
5326 2014-07-28 Stephen Berman <stephen.berman@gmx.net>
5328 * calendar/todo-mode.el (todo-set-top-priorities): Fix overwriting
5329 of file-wide setting when changing category-wide setting.
5331 2014-07-28 Stephen Berman <stephen.berman@gmx.net>
5333 * doc-view.el (doc-view-open-text): Don't require that the
5334 document is saved in a file (e.g., email attachment).
5336 2014-07-28 Fabián Ezequiel Gallina <fgallina@gnu.org>
5338 Parse completion input in a iPython friendly way. (Bug#18084)
5339 * progmodes/python.el
5340 (python-shell-completion-at-point): Rename from
5341 python-shell-completion-complete-at-point.
5342 (inferior-python-mode): Use it.
5343 (python-completion-at-point): Rename from
5344 python-completion-complete-at-point. Parse input up to first
5345 backward occurrence of whitespace, open-paren, close-paren or
5347 (python-mode): Use it.
5349 2014-07-28 Fabián Ezequiel Gallina <fgallina@gnu.org>
5351 * progmodes/python.el
5352 (python-shell-with-shell-buffer): New macro.
5353 (python-shell-font-lock-get-or-create-buffer)
5354 (python-shell-font-lock-kill-buffer)
5355 (python-shell-font-lock-with-font-lock-buffer)
5356 (python-shell-font-lock-cleanup-buffer)
5357 (python-shell-font-lock-toggle): Use it.
5358 (python-shell-font-lock-turn-on)
5359 (python-shell-font-lock-turn-off): Use it. Make command.
5361 2014-07-28 Fabián Ezequiel Gallina <fgallina@gnu.org>
5363 Grab all Python process output before inferior-python-mode hooks.
5364 * progmodes/python.el (inferior-python-mode):
5365 Call accept-process-output and sit-for to ensure all output for process
5366 has been received before running hooks.
5367 (python-shell-internal-get-or-create-process):
5368 Cleanup accept-process-output and sit-for calls.
5370 2014-07-28 Fabián Ezequiel Gallina <fgallina@gnu.org>
5372 More robust shell startup and code setup.
5373 * progmodes/python.el (python-shell-make-comint):
5374 Remove accept-process-output call.
5375 (python-shell-get-buffer): Return current buffer if major-mode is
5376 inferior-python-mode.
5377 (python-shell-get-or-create-process): Use it.
5378 (python-shell-send-setup-code): Send all setup code in one string,
5379 output success message and accept-process-output.
5381 2014-07-27 Eli Zaretskii <eliz@gnu.org>
5383 * scroll-bar.el (scroll-bar-toolkit-horizontal-scroll):
5384 Add rudimentary support for bidirectional text.
5386 2014-07-27 Martin Rudalics <rudalics@gmx.at>
5388 * frame.el (frame-notice-user-settings): Rewrite using
5389 frame-initial-frame-tool-bar-height.
5390 * menu-bar.el (menu-bar-horizontal-scroll-bar)
5391 (menu-bar-no-horizontal-scroll-bar): New functions.
5392 (menu-bar-showhide-scroll-bar-menu): Add bindings for horizontal
5394 * scroll-bar.el (scroll-bar-lines)
5395 (set-horizontal-scroll-bar-mode)
5396 (get-horizontal-scroll-bar-mode, horizontal-scroll-bar-mode)
5397 (scroll-bar-horizontal-drag-1, scroll-bar-horizontal-drag)
5398 (scroll-bar-toolkit-horizontal-scroll): New functions.
5399 (horizontal-scroll-bar-mode)
5400 (previous-horizontal-scroll-bar-mode)
5401 (horizontal-scroll-bar-mode-explicit): New variables.
5402 (horizontal-scroll-bar-mode): New option.
5403 (toggle-horizontal-scroll-bar): Do something.
5404 (top-level): Bind horizontal-scroll-bar mouse-1.
5405 * startup.el (tool-bar-originally-present): Remove variable.
5406 (command-line): Don't set tool-bar-originally-present.
5407 * window.el (window-min-height): Update doc-string.
5408 (window--dump-frame): Dump horizontal scroll bar values.
5409 (window--min-size-1): Handle minibuffer window separately.
5410 Count in margins and horizontal scroll bar. Return safe value
5411 iff IGNORE equals 'safe.
5412 (frame-windows-min-size): New function (used by frame resizing
5414 (fit-frame-to-buffer, fit-window-to-buffer): Count in horizontal
5416 (window--sanitize-window-sizes): New function.
5417 (window-split-min-size): Remove.
5418 (split-window): Count divider-width. Don't use
5419 `window-split-min-size' any more. Reword error messages.
5420 Sanitize windows sizes after splitting.
5422 2014-07-27 Thien-Thi Nguyen <ttn@gnu.org>
5424 Use `defvar-local' more.
5425 * progmodes/hideshow.el
5426 (hs-c-start-regexp, hs-block-start-regexp)
5427 (hs-block-start-mdata-select, hs-block-end-regexp)
5428 (hs-forward-sexp-func, hs-adjust-block-beginning): ...here;
5429 remove corresponding `make-variable-buffer-local' top-level calls.
5431 2014-07-27 Fabián Ezequiel Gallina <fgallina@gnu.org>
5433 Cleanup error signals. (Bug#18067)
5434 * progmodes/python.el
5435 (python-indent-shift-left): Use user-error instead.
5436 (python-shell-prompt-detect): Use lwarn with python group.
5437 (python-completion-complete-at-point)
5438 (python-eldoc--get-doc-at-point): Don't signal error.
5440 2014-07-27 Fabián Ezequiel Gallina <fgallina@gnu.org>
5442 Support for packages in Python shell. (Bug#13570)
5443 * progmodes/python.el (python-shell--package-depth): New var.
5444 (python-shell-package-enable): New command.
5445 (python-util-list-directories, python-util-list-files)
5446 (python-util-list-packages): New functions.
5448 2014-07-27 Fabián Ezequiel Gallina <fgallina@gnu.org>
5450 Faster comint output. (Bug#16875)
5451 * progmodes/python.el:
5452 (python-comint-output-filter-function): Make obsolete.
5453 (python-comint-postoutput-scroll-to-bottom): New function.
5454 (inferior-python-mode): Set comint-output-filter-functions to a
5457 2014-07-27 Fabián Ezequiel Gallina <fgallina@gnu.org>
5459 * progmodes/python.el (python-shell-font-lock-post-command-hook):
5460 Safeguard current point and undo history.
5462 2014-07-26 Fabián Ezequiel Gallina <fgallina@gnu.org>
5464 Robust shell syntax highlighting. (Bug#18084, Bug#16875)
5465 * progmodes/python.el:
5466 (python-shell-prompt-input-regexps): Add iPython block prompt.
5467 (python-shell-output-syntax-table): Delete var.
5468 (python-shell-font-lock-with-font-lock-buffer): New macro.
5469 (python-shell-font-lock-get-or-create-buffer)
5470 (python-shell-font-lock-kill-buffer)
5471 (python-shell-font-lock-cleanup-buffer)
5472 (python-shell-font-lock-post-command-hook)
5473 (python-shell-font-lock-turn-off): New functions.
5474 (python-shell-font-lock-turn-on): New function.
5475 (inferior-python-mode): Use it.
5476 (python-shell-font-lock-toggle): New command.
5477 (python-shell-font-lock-enable): Rename from
5478 python-shell-enable-font-lock.
5479 (run-python-internal): Use it.
5480 (python-shell-font-lock-comint-output-filter-function): New function.
5481 (python-shell-comint-end-of-output-p): New function.
5482 (python-shell-output-filter): Use it.
5483 (python-util-comint-last-prompt): New function.
5484 (python-util-text-properties-replace-name): New function.
5486 2014-07-25 Glenn Morris <rgm@gnu.org>
5488 * vc/ediff-init.el (ediff-toggle-read-only-function):
5489 * vc/ediff-util.el (ediff-toggle-read-only):
5490 Replace obsolete toggle-read-only with read-only-mode.
5492 2014-07-24 Michael Albinus <michael.albinus@gmx.de>
5494 * net/tramp-cache.el (tramp-flush-file-function): Wrap the code
5495 with `save-match-data'. (Bug#18095)
5497 2014-07-21 Vincent Belaïche <vincentb1@users.sourceforge.net>
5499 * ses.el (ses-truncate-cell): Use cl-progv instead of eval in
5500 order to ensure that row and col are lexically bound inside the
5503 2014-07-21 Glenn Morris <rgm@gnu.org>
5505 * progmodes/hideif.el (hide-ifdef-mode-submap):
5506 Also substitute read-only-mode.
5507 * bindings.el (mode-line-toggle-read-only):
5508 * bs.el (bs-toggle-readonly):
5509 * buff-menu.el (Buffer-menu-toggle-read-only):
5510 * dired.el (dired-toggle-read-only):
5511 * files.el (view-read-only, find-file-read-only)
5512 (find-file-read-only-other-window)
5513 (find-file-read-only-other-frame):
5514 * progmodes/hideif.el (hide-ifdef-toggle-outside-read-only):
5515 Doc fixes re toggle-read-only.
5517 2014-07-21 Fabián Ezequiel Gallina <fgallina@gnu.org>
5519 * progmodes/python.el: Add comment about pipe buffering and
5520 solutions for missing/delayed output in inferior Python shells.
5523 * progmodes/python.el (python-mode): Don't set
5524 mode-require-final-newline. (Bug#17990)
5526 Make python.el work with IPython automatically. (Bug#15510)
5527 * progmodes/python.el:
5528 (python-shell-completion-setup-code): New value supporting iPython.
5529 (python-shell-completion-string-code): New value supporting iPython.
5530 (python-shell-completion-get-completions): Use them.
5531 (python-shell-completion-module-string-code): Make obsolete.
5532 (python-shell-prompt-input-regexps)
5533 (python-shell-prompt-output-regexps): Add safeguard for ipdb.
5534 (python-shell-output-filter): Fix comment typo.
5536 Fix Python shell prompts detection for remote hosts.
5537 * progmodes/python.el (python-shell-prompt-detect):
5538 Replace call-process with process-file and make it more robust.
5540 Autodetect Python shell prompts. (Bug#17370)
5541 * progmodes/python.el:
5542 (python-shell-interpreter-interactive-arg)
5543 (python-shell-prompt-detect-enabled)
5544 (python-shell-prompt-detect-failure-warning)
5545 (python-shell-prompt-input-regexps)
5546 (python-shell-prompt-output-regexps): New vars.
5547 (python-shell-prompt-calculated-input-regexp)
5548 (python-shell-prompt-calculated-output-regexp): New vars.
5549 (python-shell-get-process-name)
5550 (python-shell-internal-get-process-name)
5551 (python-shell-output-filter)
5552 (python-shell-completion-get-completions): Use them.
5553 (python-shell-prompt-detect)
5554 (python-shell-prompt-validate-regexps): New functions.
5555 (python-shell-prompt-set-calculated-regexps): New function.
5556 (inferior-python-mode): Use it. Also honor overriden
5557 python-shell-interpreter and python-shell-interpreter-args.
5558 (python-shell-make-comint): Honor overriden
5559 python-shell-interpreter and python-shell-interpreter-args.
5560 (python-shell-get-or-create-process): Make it testable by allowing
5561 to call run-python non-interactively.
5562 (python-util-valid-regexp-p): New function.
5563 (python-shell-prompt-regexp, python-shell-prompt-block-regexp)
5564 (python-shell-prompt-output-regexp)
5565 (python-shell-prompt-pdb-regexp): Use it as defcustom :safe.
5567 2014-07-21 Stefan Monnier <monnier@iro.umontreal.ca>
5569 * emacs-lisp/smie.el (smie-config--guess-1): Split from
5571 (smie-config--guess): Use it.
5573 * emacs-lisp/edebug.el: Use nadvice.
5574 (edebug-original-read): Remove.
5575 (edebug--read): Rename from edebug-read and add `orig' arg.
5576 (edebug-uninstall-read-eval-functions)
5577 (edebug-install-read-eval-functions): Use nadvice.
5578 (edebug-read-sexp, edebug-read-storing-offsets, edebug-read-symbol)
5579 (edebug-read-and-maybe-wrap-form1, edebug-instrument-callee)
5580 (edebug-read-string, edebug-read-function): Use just `read'.
5581 (edebug-original-debug-on-entry): Remove.
5582 (edebug--debug-on-entry): Rename from edebug-debug-on-entry and add
5584 (debug-on-entry): Override with nadvice.
5586 * mouse.el (tear-off-window): Rename from mouse-tear-off-window since
5587 it also makes sense to bind it to a non-mouse event.
5589 * vc/vc-bzr.el (vc-bzr-shelve): Make it operate on fileset.
5591 2014-07-19 Stefan Monnier <monnier@iro.umontreal.ca>
5593 * xt-mouse.el (xterm-mouse-event): Don't assume last-click is non-nil
5596 * rect.el (rectangle--string-preview): Don't assume there
5597 a non-nil default (bug#17984).
5599 2014-07-16 Glenn Morris <rgm@gnu.org>
5601 * desktop.el (after-init-hook): Disable startup frame restoration
5602 in non-graphical situations. (Bug#17693)
5604 * vc/vc-dispatcher.el (vc-log-edit): Do set up the log buffer
5605 if it was "empty", or used for a different set of files. (Bug#17884)
5607 2014-07-16 Eli Zaretskii <eliz@gnu.org>
5609 * bindings.el (mode-line-remote): If default-directory is not a
5610 string, don't call file-remote-p on it; instead state in the
5611 help-echo that it is nil. (Bug#17986)
5613 2014-07-14 Daniel Colascione <dancol@dancol.org>
5615 * progmodes/cc-langs.el: Change comments from `cl-macroexpand-all'
5616 to `macroexpand-all'
5618 * progmodes/cc-defs.el (c-lang-defconst-eval-immediately):
5619 Use `macroexpand-all' instead of `cl-macroexpand-all'.
5621 2014-07-12 Paul Eggert <eggert@cs.ucla.edu>
5623 Fix bug: C-x v v discarded existing log message (Bug#17884).
5624 * vc/vc-dispatcher.el (vc-log-edit):
5625 Don't clobber an already-existing log message.
5627 2014-07-12 Glenn Morris <rgm@gnu.org>
5629 * vc/log-edit.el (log-edit-changelog-entries):
5630 Check for a visited-but-never-saved ChangeLog.
5632 2014-07-12 Stefan Monnier <monnier@iro.umontreal.ca>
5634 * vc/log-edit.el (log-edit-changelog-entries): Don't both visiting
5635 a non-existing file (bug#17970).
5637 * faces.el (face-name): Undo last change.
5638 (x-resolve-font-name): Don't call face-name (bug#17956).
5640 2014-07-12 Fabián Ezequiel Gallina <fgallina@gnu.org>
5642 Fix dedenters and electric colon handling. (Bug#15163)
5643 * progmodes/python.el
5644 (python-rx-constituents): Add dedenter and block-ender.
5645 (python-indent-dedenters, python-indent-block-enders): Delete.
5646 (python-indent-context): Return new case for dedenter-statement.
5647 (python-indent-calculate-indentation): Handle new case.
5648 (python-indent-calculate-levels): Fix levels calculation for
5649 dedenter statements.
5650 (python-indent-post-self-insert-function): Fix colon handling.
5651 (python-info-dedenter-opening-block-message): New function.
5652 (python-indent-line): Use it.
5653 (python-info-closing-block)
5654 (python-info-closing-block-message): Remove.
5655 (python-info-dedenter-opening-block-position)
5656 (python-info-dedenter-opening-block-positions)
5657 (python-info-dedenter-statement-p): New functions.
5659 2014-07-11 Dmitry Antipov <dmantipov@yandex.ru>
5661 * files.el (out-of-memory-warning-percentage): New defcustom.
5662 (warn-maybe-out-of-memory): Use it.
5664 2014-07-11 Michael Albinus <michael.albinus@gmx.de>
5666 * subr.el (read-passwd): Use `read-hide-char' if non-nil. Bind it
5667 when calling `read-string'. (Bug#17839)
5669 2014-07-10 Eli Zaretskii <eliz@gnu.org>
5671 * files.el (warn-maybe-out-of-memory): Fix the wording of the
5674 2014-07-10 Dmitry Antipov <dmantipov@yandex.ru>
5676 * files.el (warn-maybe-out-of-memory): New function.
5677 (find-file-noselect): Use it.
5679 2014-07-09 Sam Steingold <sds@gnu.org>
5681 * progmodes/cperl-mode.el (cperl-block-p): Treat the perl keyword
5682 `constant' like `bless', `return' &c
5684 2014-07-09 Stefan Monnier <monnier@iro.umontreal.ca>
5686 * rect.el (apply-on-rectangle): Check forward-line really moved to the
5689 2014-07-09 Stefan Monnier <monnier@iro.umontreal.ca>
5691 * progmodes/sh-script.el (sh-smie-sh-rules): Don't align with a && in
5692 the middle of a line (bug#17896).
5694 2014-07-09 Juri Linkov <juri@jurta.org>
5696 * startup.el (command-line): Append displaying the warning about
5697 the errors in the init file to the end of `after-init-hook'.
5700 * faces.el (face-name): Return input arg `face' as-is
5701 when it's not a symbol.
5702 (x-resolve-font-name): Don't check if the face is a symbol.
5705 * facemenu.el (list-colors-print): In help-echo format use %.2f
5706 instead of %d because now HSV values are floating-point components
5707 between 0.0 and 1.0.
5709 2014-07-09 Glenn Morris <rgm@gnu.org>
5711 * emulation/cua-rect.el (cua--activate-rectangle):
5712 Avoid setting cua--rectangle to nil. (Bug#17877)
5714 2014-07-09 Stephen Berman <stephen.berman@gmx.net>
5716 * calendar/todo-mode.el: Fix wrong-type-argument error when
5717 marking multiple consecutive items.
5718 (todo-toggle-mark-item): Don't try to mark the empty lines at the
5719 end of the todo and done items sections. Note in doc string that
5720 items marked by passing a numeric prefix argument can include the
5721 last todo and first done items.
5722 (todo-mark-category): Don't try to mark the empty line between the
5723 todo and done items sections.
5725 2014-07-09 Stefan Monnier <monnier@iro.umontreal.ca>
5727 * emacs-lisp/edebug.el (edebug-eval-defun): Print result using
5728 proper Lisp quoting (bug#17934).
5730 * progmodes/ruby-mode.el (ruby-mode-variables): Don't meddle with
5731 require-final-newline since prog-mode already took care of it (bug#17947).
5733 2014-07-09 Stephen Berman <stephen.berman@gmx.net>
5735 * calendar/todo-mode.el: Fix two bugs. Shorten Commentary and
5736 refer to the Todo mode Info manual. Update the comment on
5738 (todo-find-filtered-items-file): Add todo-prefix overlays.
5739 (todo-filter-items): Reorder a let-bound variable to avoid a
5740 wrong-type-argument error on canceling the file choice dialog.
5742 2014-07-09 Stefan Monnier <monnier@iro.umontreal.ca>
5744 * progmodes/octave.el (inferior-octave-mode):
5745 Set comint-input-ring-size to a number (bug#17912).
5747 2014-07-09 Juri Linkov <juri@jurta.org>
5749 * desktop.el (desktop-minor-mode-table): Add `defining-kbd-macro'
5750 and `isearch-mode' associated with nil. (Bug#17849)
5752 2014-07-08 Stefan Monnier <monnier@iro.umontreal.ca>
5754 * linum.el (linum--face-height): New function (bug#17813).
5755 (linum-update-window): Use it to adjust margin to linum's width.
5757 * leim/quail/sisheng.el (sisheng-list): Don't bother with-case-table.
5758 * eshell/em-smart.el (eshell-smart-scroll-window):
5759 Use with-selected-window.
5761 * xt-mouse.el (xterm-mouse-translate-1): Intern drag event (bug#17894).
5762 Remove also pointless window&mark manipulation.
5764 * progmodes/perl-mode.el: Use syntax-ppss; fix one indentation case.
5765 (perl-indent-line): Use syntax-ppss to detect we're in a doc-section.
5766 (perl-continuation-line-p): Don't skip over anything else than labels.
5767 Return the previous char.
5768 (perl-calculate-indent): Use syntax-ppss instead of parse-start
5769 and update callers accordingly. For continuation lines, check the
5770 the case of array hashes.
5771 (perl-backward-to-noncomment): Make it non-interactive.
5772 (perl-backward-to-start-of-continued-exp): Rewrite.
5774 2014-07-08 Sam Steingold <sds@gnu.org>
5776 * progmodes/inf-lisp.el (lisp-eval-paragraph, lisp-eval-form-and-next):
5779 2014-07-08 Juri Linkov <juri@jurta.org>
5781 * vc/vc-annotate.el (vc-annotate-background-mode): New defcustom.
5782 (vc-annotate-color-map): Use less saturated colors (20%) for
5784 (vc-annotate-very-old-color): Add default value for background-mode.
5785 (vc-annotate-background): Set default value to nil since now text on
5786 the default backgrounds should be legible in light and dark modes.
5787 (vc-annotate-lines): Use `vc-annotate-background-mode'. Doc fix.
5790 2014-07-08 Juri Linkov <juri@jurta.org>
5792 * simple.el (transpose-chars): Don't move point into read-only area.
5795 2014-07-08 Juri Linkov <juri@jurta.org>
5797 * window.el (with-displayed-buffer-window): New macro.
5798 (with-temp-buffer-window, with-current-buffer-window):
5799 Use `macroexp-let2' to evaluate and bind variables
5800 in the same order as macro arguments.
5801 (display-buffer--action-function-custom-type):
5802 Add `display-buffer-below-selected' and `display-buffer-at-bottom'.
5804 * minibuffer.el (minibuffer-completion-help): Replace
5805 `with-output-to-temp-buffer' with `with-displayed-buffer-window'
5806 with actions that display *Completions* at-bottom when called
5807 from the minibuffer, or below-selected in a normal buffer.
5808 Associate `window-height' with `fit-window-to-buffer'.
5809 Let-bind `pop-up-windows' to nil.
5811 * dired.el (dired-mark-pop-up): Use `with-displayed-buffer-window'
5812 instead of `with-current-buffer-window'. (Bug#17809)
5814 2014-07-07 Luke Lee <luke.yx.lee@gmail.com>
5816 * progmodes/hideif.el (hide-ifdef-env): Change to global.
5817 (hide-ifdef-env-backup): New variable.
5818 (hide-ifdef-expand-reinclusion-protection, hide-ifdef-header-regexp):
5819 New customizable variables.
5820 (hif-clear-all-ifdef-defined): New defun.
5821 (hif-merge-ifdef-region, hide-ifdef-region-internal, hide-ifdef-region)
5822 (hif-show-ifdef-region): Merge hidden regions to prevent continuous "...".
5823 (hif-tokenize): Fix for MS-DOS/Win EOL style.
5824 (hif-endif-to-ifdef, hif-make-range, hif-find-range, hif-possibly-hide):
5825 Fix bug to hide the correct #elif region(s).
5826 (hif-range-elif): New defun.
5827 (hif-recurse-level): New var.
5828 (hif-evaluate-region, hif-evaluate-macro): New defun.
5829 (hide-ifdef-guts): Prevent reinclusion protected C/C++ headers from
5831 (hide-ifdef-define, hide-ifdefs, hide-ifdef-block, show-ifdef-block):
5834 2014-07-04 Michael Albinus <michael.albinus@gmx.de>
5836 * net/dbus.el (dbus-peer-handler): New defun.
5837 (dbus-register-service): Register it. (Bug#17858)
5838 (dbus-managed-objects-handler): Fix docstring.
5840 2014-07-04 Phil Sainty <psainty@orcon.net.nz>
5842 * emacs-lisp/lisp.el (narrow-to-defun-include-comments): New var.
5843 (narrow-to-defun): New arg include-comments, defaulting to it
5846 2014-07-03 Stefan Monnier <monnier@iro.umontreal.ca>
5848 * rect.el (rectangle--highlight-for-redisplay): Don't pass `orig' with
5849 different calling convention to rectangle--unhighlight-for-redisplay.
5851 2014-07-03 Michael Albinus <michael.albinus@gmx.de>
5853 * net/tramp.el (tramp-call-process): Handle error strings.
5855 * net/tramp-adb.el (tramp-adb-sh-fix-ls-output): Use `bolp'.
5857 * net/tramp-sh.el (tramp-sh-handle-set-visited-file-modtime)
5858 (tramp-sh-handle-verify-visited-file-modtime): Use `point-at-eol'.
5860 * net/trampver.el: Update release number.
5862 2014-07-03 Juri Linkov <juri@jurta.org>
5864 * desktop.el (desktop-save): Rename arg `auto-save' to
5865 `only-if-changed'. Doc fix. (Bug#17873)
5867 2014-07-03 Stefan Monnier <monnier@iro.umontreal.ca>
5869 * mouse.el (mouse-yank-primary, mouse-yank-secondary):
5870 Use insert-for-yank (bug#17271).
5872 2014-07-03 Leo Liu <sdl.web@gmail.com>
5874 * emacs-lisp/pp.el (pp-eval-expression, pp-eval-last-sexp):
5875 Support lexical-binding.
5877 2014-07-03 Stefan Monnier <monnier@iro.umontreal.ca>
5879 * vc/log-edit.el (log-edit-goto-eoh): New function.
5880 (log-edit--match-first-line): Use it (bug#17861).
5882 2014-07-03 Glenn Morris <rgm@gnu.org>
5884 * vc/log-edit.el (log-edit-hook): Add missing :version.
5886 2014-07-03 Fabián Ezequiel Gallina <fgallina@gnu.org>
5888 * progmodes/python.el (python-indent-post-self-insert-function):
5889 Enhancements to electric indentation behavior inside
5892 2014-07-03 Stefan Monnier <monnier@iro.umontreal.ca>
5894 * ps-def.el (ps-generate-postscript-with-faces1): Don't mess with
5895 buffer-invisibility-spec (bug#17867).
5897 2014-07-03 Andreas Schwab <schwab@linux-m68k.org>
5899 * vc/vc-git.el (vc-git-checkin): When operating on the whole tree
5902 2014-07-03 Glenn Morris <rgm@gnu.org>
5904 * cus-edit.el (help):
5905 * finder.el (finder-known-keywords):
5906 * help.el (help-for-help-internal):
5907 * vc/ediff-mult.el (ediff-meta-buffer-verbose-message)
5908 (ediff-redraw-registry-buffer):
5909 * vc/ediff-ptch.el (ediff-patch-file-internal):
5910 Doc fixes re "online" help. (Bug#17803)
5912 * progmodes/idlwave.el (idlwave): Update url-link for custom group.
5913 (idlwave-mode): Doc URL update.
5915 2014-07-01 Juri Linkov <juri@jurta.org>
5917 * man.el: Display man pages immediately and use process-filter
5918 to format them asynchronously.
5919 (Man-width): Doc fix.
5921 (Man-start-calling): Use `with-selected-window' to get
5922 `frame-width' and `window-width'.
5923 (Man-getpage-in-background): Call `Man-notify-when-ready'
5924 immediately after creating a new buffer. Call `Man-mode' and set
5925 `mode-line-process' in the created buffer. Set process-filter to
5926 `Man-bgproc-filter' in start-process branch. In call-process branch
5927 call either `Man-fontify-manpage' or `Man-cleanup-manpage'.
5928 Use `Man-start-calling' inside `with-current-buffer'.
5929 (Man-fontify-manpage): Don't print messages. Fix boundary condition.
5930 (Man-cleanup-manpage): Don't print messages.
5931 (Man-bgproc-filter): New function.
5932 (Man-bgproc-sentinel): Add `save-excursion' to keep point when
5933 user moved it during asynchronous formatting. Move calls of
5934 `Man-fontify-manpage' and `Man-cleanup-manpage' to
5935 `Man-bgproc-filter'. Move the call of `Man-mode' to
5936 `Man-getpage-in-background'. Use `quit-restore-window'
5937 instead of `kill-buffer'. Use `message' instead of `error'
5938 because errors are caught by process sentinel.
5939 (Man-mode): Move calls of `Man-build-page-list',
5940 `Man-strip-page-headers', `Man-unindent', `Man-goto-page' to
5941 `Man-bgproc-sentinel'. Doc fix. (Bug#2588, bug#5054, bug#9084, bug#17831)
5943 * man.el (Man-bgproc-sentinel): Use `Man-page-from-arguments'
5944 for the message about the man page cleaned up.
5946 2014-07-01 Mario Lang <mlang@delysid.org>
5948 * net/gnutls.el (gnutls-negotiate): Prevent destructive modification of
5949 cosutomization option `gnutls-verify-error'.
5951 2014-07-01 Stefan Monnier <monnier@iro.umontreal.ca>
5953 * simple.el (deactivate-mark, set-mark-command, handle-shift-selection):
5954 Don't keep transient-mark-mode buffer-local when not needed (bug#6316).
5956 * xt-mouse.el (turn-on-xterm-mouse-tracking-on-terminal)
5957 (turn-off-xterm-mouse-tracking-on-terminal): Don't burp if the terminal
5958 is suspended (bug#17857).
5960 2014-07-01 Michael Albinus <michael.albinus@gmx.de>
5962 * net/tramp-sh.el (tramp-open-connection-setup-interactive-shell):
5963 Prefer utf-8 coding. (Bug#17859)
5965 2014-06-30 Fabián Ezequiel Gallina <fgallina@gnu.org>
5967 * emacs-lisp/subr-x.el (string-reverse): Define as obsolete alias
5970 2014-06-30 Glenn Morris <rgm@gnu.org>
5972 * emacs-lisp/autoload.el (autoload-ensure-writable): New variable.
5973 (autoload-ensure-default-file): Maybe make existing output writable.
5974 * Makefile.in (AUTOGEN_VCS): Remove.
5975 (autoloads): Use autoload-ensure-writable rather than AUTOGEN_VCS.
5977 2014-06-30 Fabián Ezequiel Gallina <fgallina@gnu.org>
5979 * emacs-lisp/subr-x.el (string-reverse): Use `reverse'.
5981 2014-06-30 Fabián Ezequiel Gallina <fgallina@gnu.org>
5983 New if-let, when-let, thread-first and thread-last macros.
5985 * emacs-lisp/subr-x.el
5986 (internal--listify, internal--check-binding)
5987 (internal--build-binding-value-form, internal--build-binding)
5988 (internal--build-bindings): New functions.
5989 (internal--thread-argument, thread-first, thread-last)
5990 (if-let, when-let): New macros.
5992 2014-06-30 Grégoire Jadi <daimrod@gmail.com>
5994 * net/rcirc.el (rcirc-buffer-process): Restore previous
5995 behaviour. (Bug#17772)
5997 2014-06-29 Alan Mackenzie <acm@muc.de>
5999 Don't call c-parse-state when c++-template-syntax-table is active.
6000 * progmodes/cc-engine.el (c-guess-continued-construct CASE G)
6001 (c-guess-basic-syntax CASE 5D.3): Rearrange so that
6002 c-syntactic-skip-backwards isn't called with the pertinent syntax table.
6004 2014-06-28 Stephen Berman <stephen.berman@gmx.net>
6006 * calendar/todo-mode.el (todo-set-top-priorities): Fix logic to
6007 account for file-wide setting of todo-top-priorities-overrides.
6008 Make code a bit cleaner.
6010 2014-06-28 Glenn Morris <rgm@gnu.org>
6012 * net/eww.el (eww-mode) <eww-current-title>: Make local. (Bug#17860)
6014 2014-06-28 Stephen Berman <stephen.berman@gmx.net>
6016 * calendar/todo-mode.el (todo-prefix-overlays): If there is no
6017 category-wide setting of todo-top-priorities-overrides, check for
6018 a file-wide setting and fontify accordingly.
6020 2014-06-28 Glenn Morris <rgm@gnu.org>
6022 * subr.el (read-passwd): Warn about batch mode. (Bug#17839)
6024 2014-06-28 Stefan Monnier <monnier@iro.umontreal.ca>
6026 * progmodes/hideif.el: Use lexical-binding. Fix up cl-lib usage.
6028 2014-06-28 K. Handa <handa@gnu.org>
6032 * composite.el: Setup composition-function-table for dotted circle.
6033 (compose-gstring-for-dotted-circle): New function.
6035 * international/characters.el: Add category "^" to all
6036 non-spacing characters.
6038 2014-06-28 Glenn Morris <rgm@gnu.org>
6040 * Makefile.in (doit): Remove force rule.
6041 (custom-deps, finder-data, autoloads, update-subdirs)
6042 (compile-one-process): PHONY targets do not need force rules.
6044 * Makefile.in (compile-main, compile, compile-always):
6045 No need to explicitly pass variables to ourself in recursive calls.
6047 2014-06-28 Stefan Monnier <monnier@iro.umontreal.ca>
6049 * files.el (minibuffer-with-setup-hook): Evaluate the first arg eagerly.
6051 2014-06-26 Glenn Morris <rgm@gnu.org>
6053 * Makefile.in (update-authors): Update for moved authors.el.
6055 2014-06-26 Leo Liu <sdl.web@gmail.com>
6057 * skeleton.el (skeleton-end-hook): Default to nil and move the
6058 work to skeleton-insert. (Bug#17850)
6060 2014-06-26 Dmitry Antipov <dmantipov@yandex.ru>
6062 * calc/calc-alg.el (math-beforep):
6063 * progmodes/cc-guess.el (c-guess-view-reorder-offsets-alist-in-style):
6064 Simplify because string-lessp can accept symbols as args.
6066 2014-06-26 Daiki Ueno <ueno@gnu.org>
6068 * emacs-lisp/package.el (package--check-signature):
6069 If package-check-signature is allow-unsigned, don't signal error when
6070 we can't verify signature because of missing public key
6073 2014-06-26 Glenn Morris <rgm@gnu.org>
6075 * emacs-lisp/cl-macs.el (help-add-fundoc-usage):
6076 Remove outdated declaration.
6078 * emacs-lisp/authors.el (authors-valid-file-names)
6079 (authors-renamed-files-alist): Additions.
6081 2014-06-26 Leo Liu <sdl.web@gmail.com>
6083 * textmodes/picture.el (picture-set-tab-stops):
6084 * ruler-mode.el (ruler-mode-mouse-add-tab-stop)
6085 (ruler-mode-ruler): Fix to work with nil tab-stop-list.
6087 * progmodes/asm-mode.el (asm-calculate-indentation):
6088 Use indent-next-tab-stop.
6090 * indent.el (indent-accumulate-tab-stops): New function.
6092 2014-06-26 Stefan Monnier <monnier@iro.umontreal.ca>
6094 * emacs-lisp/package.el (package-list-unsigned): New var (bug#17625).
6095 (package-desc-status): Obey it.
6097 2014-06-26 Stephen Berman <stephen.berman@gmx.net>
6099 * calendar/todo-mode.el: Fix two bugs.
6100 (todo-insert-item--basic): If user cancels item insertion to
6101 another category before setting priority, show original category
6102 whether it is in the same or a different file.
6103 (todo-set-item-priority): After selecting category, instead of
6104 moving point to top, which extends an active region, restore it.
6106 2014-06-26 Stefan Monnier <monnier@iro.umontreal.ca>
6108 * help-fns.el (describe-function-1): Check file-name is a string before
6109 calling help-fns--autoloaded-p (bug#17564).
6111 2014-06-26 Juri Linkov <juri@jurta.org>
6113 * desktop.el (desktop-auto-save-enable)
6114 (desktop-auto-save-disable): New functions.
6115 (desktop-save-mode, desktop-auto-save-timeout): Use them.
6116 (desktop-read): Disable the autosave before loading the desktop,
6117 and enable afterwards. (Bug#17351)
6119 2014-06-26 Stefan Monnier <monnier@iro.umontreal.ca>
6121 Fix some indentation problem with \; and pipes (bug#17842).
6122 * progmodes/sh-script.el (sh-mode-syntax-table): Set syntax of ;|&.
6123 (sh-smie--default-forward-token, sh-smie--default-backward-token):
6125 (sh-smie-sh-forward-token, sh-smie-sh-backward-token)
6126 (sh-smie-rc-forward-token, sh-smie-rc-backward-token): Use them.
6127 (sh-smie-sh-rules): Fix indentation of a pipe at BOL.
6129 2014-06-26 Glenn Morris <rgm@gnu.org>
6131 * emacs-lisp/find-func.el (find-function-C-source-directory):
6132 Use file-accessible-directory-p.
6134 * ps-samp.el: Make it slightly less awful.
6135 (ps-rmail-mode-hook, ps-gnus-article-prepare-hook, ps-vm-mode-hook):
6136 (ps-gnus-summary-setup, ps-info-mode-hook): Use [print] key.
6137 Only set local values.
6138 (ps-article-subject, ps-article-author): Use standard functions
6139 like mail-fetch-field.
6140 (ps-info-file, ps-info-node): Use match-string.
6141 (ps-jts-ps-setup, ps-jack-setup): Remove, merging into...
6142 (ps-samp-ps-setup): ... new function.
6144 * progmodes/idlw-shell.el (idlwave-shell-make-temp-file):
6145 Optimize away code unneeded on any modern Emacs.
6147 * emacs-lisp/authors.el: Move to ../admin.
6149 * emacs-lisp/ert.el (ert-summarize-tests-batch-and-exit): New.
6151 2014-06-26 Luke Lee <luke.yx.lee@gmail.com>
6153 * progmodes/hideif.el (hif-string-to-number): Fix return value bug.
6154 (hif-simple-token-only, hif-tokenize): Comment in detail mainly for
6155 performance enhancements.
6156 (hif-parse-if-exp): Rename to `hif-parse-exp'. Enhance for macro
6158 (hif-factor, hif-string-concatenation, intern-safe): Support string
6159 concatenation and argumented macro expansion.
6160 (hif-if-valid-identifier-p, hif-define-operator, hif-flatten)
6161 (hif-expand-token-list, hif-get-argument-list, hif-define-macro)
6162 (hif-delimit, hif-macro-supply-arguments, hif-invoke, hif-canonicalize)
6163 (hif-canonicalize-tokens, hif-place-macro-invocation)
6164 (hif-parse-macro-arglist): Mostly new functions for supporting
6165 argumented macro expansion.
6166 (hif-string-concatenation, hif-stringify, hif-token-concat)
6167 (hif-token-stringification, hif-token-concatenation):
6168 Stringification and concatenation.
6169 (hif-find-next-relevant): Fix comments.
6170 (hif-ifdef-to-endif, hif-looking-at-elif, hif-hide-line): Bug fix for
6171 some cases involving #elif.
6172 (hif-find-define, hif-add-new-defines): New functions for automatically
6173 scanning of defined symbols.
6174 (hide-ifdef-guts): Fix for defined symbol auto scanning.
6175 (hide-ifdef-undef): Fix behavior to match CPP.
6177 2014-06-25 Glenn Morris <rgm@gnu.org>
6179 * Makefile.in ($(lisp)/progmodes/cc-defs.elc)
6180 ($(lisp)/progmodes/cc-fonts.elc, $(lisp)/progmodes/cc-langs.elc)
6181 ($(lisp)/progmodes/cc-vars.elc): Drop hand-written deps on non-cc
6182 files. They are not relevant to the original issue (bug#1004),
6183 and cause unnecessary recompilation (bug#2151).
6185 2014-06-25 Stefan Monnier <monnier@iro.umontreal.ca>
6187 * play/landmark.el: Use lexical-binding and avoid `intangible'.
6188 (landmark--last-pos): New var.
6189 (landmark--intangible-chars): New const.
6190 (landmark--intangible): New function.
6191 (landmark-mode, landmark-move): Use it.
6192 (landmark-mode): Remove properties.
6193 (landmark-plot-square, landmark-point-square, landmark-goto-xy)
6194 (landmark-cross-qtuple):
6195 Don't worry about `intangible' any more.
6196 (landmark-click, landmark-point-y): Same; and don't assume point-min==1.
6197 (landmark-init-display): Don't set `intangible' and `point-entered'.
6198 (square): Remove. Inline it instead.
6199 (landmark--distance): Rename from `distance'.
6200 (landmark-calc-distance-of-robot-from): Rename from
6201 calc-distance-of-robot-from.
6202 (landmark-calc-smell-internal): Rename from calc-smell-internal.
6204 2014-06-25 Dmitry Antipov <dmantipov@yandex.ru>
6206 * files.el (dir-locals-find-file, file-relative-name):
6207 * info.el (Info-complete-menu-item):
6208 * minibuffer.el (completion-table-subvert): Prefer string-prefix-p
6209 to compare-strings to avoid out-of-range errors.
6210 * subr.el (string-prefix-p): Adjust to match strict range
6211 checking in compare-strings.
6213 2014-06-24 Leonard Randall <leonard.a.randall@gmail.com> (tiny change)
6215 * textmodes/reftex-parse.el (reftex-using-biblatex-p): Make search
6216 for comment lines non-greedy and stopping at newlines to fix stack
6217 overflows with large files.
6219 2014-06-24 Eli Barzilay <eli@barzilay.org>
6221 * calculator.el (calculator-last-input): Drop 'ascii-character property
6224 2014-06-24 Leo Liu <sdl.web@gmail.com>
6226 * align.el (align-adjust-col-for-rule): Unbreak due to defaulting
6227 tab-stop-list to nil. (Bug#16381)
6229 * indent.el (indent-next-tab-stop): Rename from indent--next-tab-stop.
6230 (indent-rigidly-left-to-tab-stop)
6231 (indent-rigidly-right-to-tab-stop, tab-to-tab-stop)
6232 (move-to-tab-stop): Change callers.
6234 2014-06-24 Eli Zaretskii <eliz@gnu.org>
6236 * skeleton.el (skeleton-insert): Yet another fix of the doc string
6237 wrt behavior of \n as the first/last element of a skeleton.
6239 2014-06-24 Michael Albinus <michael.albinus@gmx.de>
6241 * net/tramp-adb.el (tramp-adb-handle-process-file):
6242 * net/tramp-sh.el (tramp-sh-handle-process-file):
6243 * net/tramp-smb.el (tramp-smb-handle-process-file): Do not raise
6244 the output buffer when DISPLAY is non-nil. (Bug#17815)
6246 2014-06-24 Glenn Morris <rgm@gnu.org>
6248 * play/landmark.el (landmark-move-down, landmark-move-up):
6249 Fix 2007-10-20 change - preserve horizontal position.
6251 2014-06-23 Sam Steingold <sds@gnu.org>
6253 * simple.el (kill-append): Remove undo boundary depending on ...
6254 (kill-append-merge-undo): New user option.
6256 2014-06-23 Stefan Monnier <monnier@iro.umontreal.ca>
6258 * simple.el (handle-shift-selection, exchange-point-and-mark)
6259 (activate-mark): Set transient-mark-mode buffer-locally (bug#6316).
6260 (transient-mark-mode): Use&set the global value.
6261 * mouse.el (mouse-set-region-1, mouse-drag-track): Idem.
6262 * emulation/edt.el (edt-emulation-off): Save&restore the global
6263 transient-mark-mode setting.
6264 * obsolete/pc-select.el (pc-selection-mode): Use the
6265 transient-mark-mode function.
6267 2014-06-23 Eli Zaretskii <eliz@gnu.org>
6269 * international/fontset.el (script-representative-chars):
6270 Add representative characters for scripts added in Unicode 7.0.
6271 (otf-script-alist): Synchronize with the latest registry of OTF
6274 * international/characters.el (char-script-table): Update for
6275 scripts added and codepoint ranges changed in Unicode 7.0.
6277 2014-06-23 Eli Barzilay <eli@barzilay.org>
6279 * calculator.el (calculator-standard-displayer): Fix bug in use of
6280 `calculator-groupize-number'.
6281 (calculator-funcall): Fix broken `cl-flet' use by moving it into the
6282 `eval' code, so it works in v24.3.1 too.
6283 (calculator-last-input): Comment to clarify purpose.
6285 2014-06-22 Mario Lang <mlang@delysid.org>
6287 * textmodes/rst.el (rst-comment-region): From from -> from.
6289 * net/tramp-adb.el (tramp-adb-send-command-and-check): And and -> and.
6291 2013-06-22 Dmitry Antipov <dmantipov@yandex.ru>
6293 * electric.el (electric-layout-post-self-insert-function):
6294 * emacs-lisp/ert.el (ert--insert-infos):
6295 * obsolete/vi.el (vi-set-mark):
6296 * term.el (term-handle-scroll):
6297 * textmodes/bibtex.el (bibtex-fill-field, bibtex-fill-entry):
6298 * wid-edit.el (widget-editable-list-value-create):
6299 Prefer point-marker to copy-marker of point.
6301 2014-06-21 Fabián Ezequiel Gallina <fgallina@gnu.org>
6303 Fix completion retrieval parsing (bug#17209).
6304 * progmodes/python.el (python-mode):
6305 (python-util-strip-string): New function.
6306 (python-shell-completion-get-completions): Use it.
6308 2014-06-21 Eli Zaretskii <eliz@gnu.org>
6310 * skeleton.el (skeleton-insert): Fix last change.
6312 2014-06-21 Fabián Ezequiel Gallina <fgallina@gnu.org>
6314 Enhancements for outline integration (bug#17796).
6315 * progmodes/python.el (python-mode): Properly set
6316 outline-heading-end-regexp so that comments after colons for
6317 defuns are supported.
6319 2014-06-21 Eli Zaretskii <eliz@gnu.org>
6321 * skeleton.el (skeleton-insert): Doc fix.
6323 2014-06-21 Stefan Monnier <monnier@iro.umontreal.ca>
6325 * emacs-lisp/smie.el (smie-config--guess): Fix typo.
6326 (smie-config-guess): Use smie-config-local so the rules are obeyed
6329 * mouse.el (mouse-drag-line): Don't re-add to unread-comment-events,
6330 since it's already done inside the loop (bug#17819).
6332 2014-06-21 Martin Rudalics <rudalics@gmx.at>
6334 * mouse.el (mouse-drag-line): Re-remove code initially removed
6335 on 2013-03-09 and inadvertently reintroduced on 2013-11-30
6338 2014-06-21 Stefan Monnier <monnier@iro.umontreal.ca>
6340 * progmodes/sh-script.el (sh-smie-sh-rules): For { after &&, don't
6341 align with the surrounding parent (bug#17721).
6343 2014-06-21 Eli Zaretskii <eliz@gnu.org>
6345 * textmodes/texinfo.el (texinfo-mode): Set skeleton-end-newline
6347 (texinfo-insert-block, texinfo-insert-@end)
6348 (texinfo-insert-@example, texinfo-insert-@quotation): Adjust to
6349 local setting of skeleton-end-newline by adding an explicit \n to
6350 the skeletons where appropriate. (Bug#17801)
6352 2014-06-21 Stefan Monnier <monnier@iro.umontreal.ca>
6354 * emacs-lisp/smie.el (smie--hanging-eolp-function): New var.
6355 (smie-indent--hanging-p): Use it.
6356 * progmodes/sh-script.el (sh-set-shell): Set it (bug#17621).
6358 2014-06-21 Leo Liu <sdl.web@gmail.com>
6360 * simple.el (read-quoted-char): Don't let help chars pop up help
6363 2014-06-21 Stefan Monnier <monnier@iro.umontreal.ca>
6365 * progmodes/sh-script.el (sh-smie-sh-rules): Use same rule for && as
6368 * xt-mouse.el (xterm-mouse--read-event-sequence-1000):
6369 Drop unknown events instead of burping.
6371 2014-06-21 Eli Zaretskii <eliz@gnu.org>
6373 * term/w32-win.el (dynamic-library-alist): Support giflib 5.1.0
6374 and later. (Bug#17790)
6376 2014-06-21 Juri Linkov <juri@jurta.org>
6378 * dired.el (dired-mark-pop-up): Let-bind display-buffer-mark-dedicated
6379 to `soft'. (Bug#17554)
6381 2014-06-21 Stefan Monnier <monnier@iro.umontreal.ca>
6383 * delsel.el (electric-newline-and-maybe-indent): Mark it as well
6386 2014-06-21 Dmitry Gutov <dgutov@yandex.ru>
6388 * progmodes/ruby-mode.el (ruby-font-lock-keywords): Don't fontify
6389 `!' in `!~' with `font-lock-negation-char-face'. (Bug#17732)
6391 2014-06-21 Michael Albinus <michael.albinus@gmx.de>
6393 * net/dbus.el (dbus-call-method): Push only non D-Bus events into
6394 `unread-command-events'.
6396 2014-06-19 William Xu <william.xwl@gmail.com>
6398 * progmodes/hideif.el (hif-string-to-number): Don't return float for
6399 hex integer constants (bug#17807).
6401 2014-06-19 Stefan Monnier <monnier@iro.umontreal.ca>
6403 * international/mule-util.el (truncate-string-ellipsis): New var.
6404 (truncate-string-to-width): Use it.
6406 2014-06-19 Robert Brown <robert.brown@gmail.com> (tiny change)
6408 * emacs-lisp/lisp-mode.el (lisp-string-after-doc-keyword-p): New fun.
6409 (lisp-string-in-doc-position-p): New function, extracted from
6410 lisp-font-lock-syntactic-face-function.
6411 (lisp-font-lock-syntactic-face-function): Use them (bug#9130).
6413 2014-06-19 Grégoire Jadi <daimrod@gmail.com>
6415 * net/rcirc.el (rcirc-omit-mode): Fix recenter error. (Bug#17769)
6417 2014-06-18 Stefan Monnier <monnier@iro.umontreal.ca>
6419 * play/bubbles.el (bubbles--initialize, bubbles--show-scores)
6420 (bubbles--game-over): Don't add `intangible' properties since they
6423 2014-06-18 Juri Linkov <juri@jurta.org>
6425 * vc/ediff-init.el (ediff-current-diff-Ancestor)
6426 (ediff-fine-diff-Ancestor, ediff-even-diff-A, ediff-even-diff-B)
6427 (ediff-even-diff-C, ediff-even-diff-Ancestor, ediff-odd-diff-A)
6428 (ediff-odd-diff-B, ediff-odd-diff-C, ediff-odd-diff-Ancestor):
6429 Add `min-colors 88' version with removed black/white foregrounds.
6432 2014-06-18 Juri Linkov <juri@jurta.org>
6434 * vc/diff-mode.el (diff-changed): Empty face definition to use
6435 `diff-removed' and `diff-added' on tty as well. (Bug#10181)
6436 (diff-context): Use darker color on light background and
6437 lighter color on dark background.
6439 2014-06-18 Juri Linkov <juri@jurta.org>
6441 * vc/diff-mode.el (diff-refine-changed): Rename from
6442 `diff-refine-change' for consistency with `diff-changed'.
6443 (diff-refine-change): Add obsolete face alias. (Bug#10181)
6445 * vc/smerge-mode.el (smerge-refined-changed): Rename from
6446 `smerge-refined-change'.
6447 (smerge-refined-change): Add obsolete face alias.
6449 2014-06-17 Stefan Monnier <monnier@iro.umontreal.ca>
6451 * rect.el (rectangle-preview): New custom.
6452 (rectangle): New group.
6453 (rectangle--pos-cols): Add `window' argument.
6454 (rectangle--string-preview-state, rectangle--string-preview-window):
6456 (rectangle--string-flush-preview, rectangle--string-erase-preview)
6457 (rectangle--space-to, rectangle--string-preview): New functions.
6458 (string-rectangle): Use them.
6459 (rectangle--inhibit-region-highlight): New var.
6460 (rectangle--highlight-for-redisplay): Obey it. Make sure
6461 `apply-on-region' uses the point-crutches of the right window.
6462 Use :align-to rather than multiple spaces.
6464 2014-06-16 Andrea Rossetti <andrea.rossetti@gmail.com> (tiny change)
6466 * ruler-mode.el (ruler-mode-window-col)
6467 (ruler-mode-mouse-set-left-margin)
6468 (ruler-mode-mouse-set-right-margin): Fix calculation of column
6469 from mouse position (Bug#17768).
6471 2014-06-16 Ron Schnell <ronnie@driver-aces.com>
6473 * play/dunnet.el (dun-doassign): Fix bug where UNIX variable assignment
6474 without varname or rhs causes crash.
6475 (dun-ftp): Fix bug where blank ftp password is allowed, making it
6476 impossible to win endgame.
6477 (dun-unix-verbs): Add ssh as alias to rlogin, because nobody knows what
6479 (dun-help): Bump version number; update contact info.
6481 2014-06-15 Eli Barzilay <eli@barzilay.org>
6483 * calculator.el (calculator-prompt, calculator-remove-zeros)
6484 (calculator-mode-hook, calculator-operators, calculator-stack)
6485 (calculator-mode): Tweak docstring.
6486 (calculator-user-operators): Tweak docstring, fix a bug in the last
6488 (calculator-displayer): `std' case has an optional boolean.
6489 (calculator-displayers): Use the new boolean to group in decimal mode.
6490 (calculator-mode-map, calculator, calculator-message)
6491 (calculator-op-arity, calculator-add-operators)
6492 (calculator-string-to-number, calculator-displayer-prev)
6493 (calculator-displayer-next, calculator-remove-zeros)
6494 (calculator-eng-display, calculator-number-to-string)
6495 (calculator-update-display, calculator-last-input)
6496 (calculator-clear-fragile, calculator-digit, calculator-decimal)
6497 (calculator-exp, calculator-saved-move, calculator-clear)
6498 (calculator-copy, calculator-put-value, calculator-help)
6499 (calculator-expt, calculator-truncate): Minor code improvements.
6500 (calculator-need-3-lines): New function pulling out code from
6502 (calculator-get-display): Rename from `calculator-get-prompt', and
6504 (calculator-push-curnum): Rename from `calculator-curnum-value', and
6505 extended for all uses of it. All callers changed.
6506 (calculator-groupize-number): New utility for splitting a number into
6508 (calculator-standard-displayer): Improve code, new optional argument to
6509 use comma-split groups, make second argument optional too to use with
6510 'left/'right inputs. All callers changed.
6511 (calculator-reduce-stack-once): New utility, doing the meat of what
6512 `calculator-reduce-stack' used to do, much improved (mostly using
6513 `pcase' for conciseness and clarity).
6514 (calculator-reduce-stack): Now doing just the reduction loop using
6515 `calculator-reduce-stack-once'.
6516 (calculator-funcall): Improve code, make it work in v24.3.1 too.
6517 (calculator-last-input): Improve code, remove some old cruft.
6518 (calculator-quit): Kill `calculator-buffer' in electric mode too.
6519 (calculator-integer-p): Remove.
6520 (calculator-fact): Improve code, make it work on non-integer values
6521 too (using truncated numbers).
6523 2014-06-15 Michael Albinus <michael.albinus@gmx.de>
6525 Sync with Tramp 2.2.10.
6527 * net/tramp.el (tramp-methods): Tweak docstring.
6528 (tramp-handle-file-accessible-directory-p): Check for
6529 `file-readable-p' instead of `file-executable-p'.
6530 (tramp-check-cached-permissions):
6531 Use `tramp-compat-file-attributes'.
6532 (tramp-call-process): Add new argument VEC. Adapt callees in all
6535 * net/tramp-adb.el (tramp-adb-handle-write-region): Improve messages.
6536 (tramp-adb-maybe-open-connection): Don't set
6537 `tramp-current-*' variables.
6539 * net/tramp-cache.el (tramp-flush-file-function): Do not flush
6540 file properties of temporary buffers.
6542 * net/tramp-ftp.el (top): Remove special handling for URL syntax.
6544 * net/tramp-gvfs.el (tramp-gvfs-methods) <sftp>: Add.
6545 (tramp-gvfs-handle-delete-file): Flush file
6546 properties, not directory properties.
6547 (tramp-gvfs-handle-file-attributes): Use `string-to-number' when
6548 reading "unix::mode".
6549 (tramp-gvfs-handle-file-name-all-completions):
6550 Use "-h" option for "gvfs-ls".
6551 (tramp-gvfs-url-file-name): `user' and `localname' could be nil.
6552 (tramp-gvfs-send-command): Simplify traces.
6554 * net/tramp-sh.el (vc-handled-backends, vc-bzr-program)
6555 (vc-git-program, vc-hg-program): Declare.
6556 (tramp-methods) <sftp>: Remove. It has never worked satisfactorily.
6557 (tramp-methods) <nc>: Add new method.
6558 (tramp-methods) <telnet>: Redirect stderr to "/dev/null".
6559 (tramp-methods) <plink, plinkx, pscp, psftp>: Improve
6561 (tramp-default-user-alist): Add "nc".
6562 (top): Remove completion function for "sftp". Add completion
6563 functions for "nc" and "psftp".
6564 (tramp-do-copy-or-rename-file-out-of-band): Tweak docstring.
6565 Implement support for "nc" method.
6566 (tramp-sh-handle-expand-file-name, tramp-local-coding-commands)
6567 (tramp-remote-coding-commands, tramp-call-local-coding-command):
6569 (tramp-sh-handle-write-region): Tweak error message.
6570 (tramp-sh-handle-vc-registered): Remove backends when the remote
6571 binary does not exist.
6572 (tramp-find-inline-encoding): Do not raise an error.
6573 (tramp-make-copy-program-file-name): Tweak docstring. Handle also
6574 the "nc" case. Quote result also locally.
6576 * net/tramp-smb.el (tramp-smb-handle-copy-directory)
6577 (tramp-smb-handle-set-file-acl): Use `start-process'.
6578 (tramp-smb-handle-insert-directory): Use progress reporter.
6579 (tramp-smb-handle-rename-file): Flush also file properties of
6582 * net/trampver.el: Update release number.
6584 2014-06-15 Stefan Monnier <monnier@iro.umontreal.ca>
6586 * ses.el: Miscellaneous cleanups; use lexical-binding; avoid
6588 (ses-localvars): Remove ses--local-printer-list, unused.
6589 (ses--metaprogramming): New macro. Use it to defvar variables.
6590 (ses-set-localvars): Simplify.
6591 (ses--locprn, ses-cell): Use defstruct. Change ses-cell's
6592 property-list into an alist.
6593 (ses-locprn-get-compiled, ses-locprn-compiled-aset)
6594 (ses-locprn-get-def, ses-locprn-def-aset, ses-locprn-get-number):
6595 Remove; use defstruct accessors/setters instead.
6596 (ses-cell-formula-aset, ses-cell-printer-aset)
6597 (ses-cell-references-aset): Remove, use setf instead.
6598 (ses--alist-get): New function.
6599 (ses-cell-property): Rename from ses-cell-property-get and rewrite.
6600 Use an alist instead of a plist and don't do move-to-front since the
6601 list is always short.
6602 (ses-cell-property-get-fun, ses-cell-property-delq-fun)
6603 (ses-cell-property-set-fun, ses-cell-property-set)
6604 (ses-cell-property-pop-fun, ses-cell-property-get-handle)
6605 (ses-cell-property-handle-car, ses-cell-property-handle-setcar): Remove.
6606 (ses--letref): New macro.
6607 (ses-cell-property-pop): Rewrite.
6608 (ses--cell): Rename from ses-cell and make it into a function.
6609 Make `formula' fallback on `value' if nil.
6610 (ses--local-printer): Rename from ses-local-printer and make it into
6612 (ses-set-cell): Turn it into a macro so finding the accessor from the
6613 field name is done at compile time.
6614 (ses-repair-cell-reference-all): Test presence of `sym' rather than
6615 `ref' before adding `sym' to :ses-repair-reference.
6616 (ses-calculate-cell): Use ses--letref rather than
6617 ses-cell-property-get-handle.
6618 (ses-write-cells): Use a single prin1-to-string.
6619 (ses-setter-with-undo): New function.
6620 (ses-aset-with-undo, ses-set-with-undo): Rewrite using it.
6621 (ses-unset-with-undo): Remove.
6622 (ses-load): Prefer apply' over `eval'.
6623 (ses-read-printer, ses-set-column-width): Use standard "(default
6626 2014-06-15 Glenn Morris <rgm@gnu.org>
6628 * Makefile.in (leim, semantic): Use `make -C' rather than `cd && make'.
6630 * progmodes/cc-langs.el: Require cl-lib. (Bug#17463)
6631 Replace delete-duplicates and mapcan by cl- versions throughout.
6632 And cl-macroexpand-all by macroexpand-all.
6633 (delete-duplicates, mapcan, cl-macroexpand-all): No need to declare.
6635 2014-06-15 Eli Zaretskii <eliz@gnu.org>
6637 * subr.el (posn-col-row): Doc fix. (Bug#17768)
6639 2014-06-15 Juri Linkov <juri@jurta.org>
6641 * bindings.el: Put `ascii-character' property on keypad keys
6642 mapped to characters. (Bug#17759)
6644 2014-06-15 Stefan Monnier <monnier@iro.umontreal.ca>
6646 * emacs-lisp/smie.el (smie-next-sexp): Fix up "other-end" info when
6647 bumping forward into a closing paren (bug#17761).
6649 * term/xterm.el (xterm--version-handler): Work around for OSX
6650 Terminal.app (bug#17607).
6652 2014-06-14 Ron Schnell <ronnie@driver-aces.com>
6654 * play/dunnet.el (dun-describe-room, dun-mode):
6655 If a lamp is in the room, you won't be eaten by a grue.
6657 2014-06-13 Glenn Morris <rgm@gnu.org>
6659 * Makefile.in ($(lisp)/cus-load.el, $(lisp)/finder-inf.el)
6660 (autoloads, $(lisp)/subdirs.el, compile-main, leim, semantic, compile)
6661 (compile-always): GNU make automatically passes
6662 command-line arguments to sub-makes.
6664 * calendar/calendar.el (calendar-generate-window):
6665 Remove pointless call to font-lock-fontify-buffer.
6667 2014-06-13 Matthias Meulien <orontee@gmail.com>
6669 * simple.el (completion-list-mode-map): Navigate with tab and backtab
6672 2014-06-13 Stefan Monnier <monnier@iro.umontreal.ca>
6674 * simple.el (set-mark-command): Simplify a bit.
6676 2014-06-12 Nicolas Richard <theonewiththeevillook@yahoo.fr>
6678 * help.el (help--key-binding-keymap): New function.
6679 (help--binding-locus): New function.
6680 (describe-key): Mention the keymap in which the binding was
6683 2014-06-12 Stefan Monnier <monnier@iro.umontreal.ca>
6685 * hippie-exp.el (he--all-buffers): New function.
6686 (try-expand-line-all-buffers, try-expand-list-all-buffers)
6687 (try-expand-dabbrev-all-buffers): Use it.
6689 2014-06-12 Emilio C. Lopes <eclig@gmx.net>
6691 * hippie-exp.el (try-expand-line-all-buffers)
6692 (try-expand-list-all-buffers, try-expand-dabbrev-all-buffers):
6693 Read hippie-expand-only-buffers and hippie-expand-ignore-buffers in the
6694 original buffer, in case they're buffer-local.
6696 2014-06-12 Vincent Belaïche <vincentb1@users.sourceforge.net>
6698 * ses.el (ses-initial-global-parameters-re): New defconst, a
6699 specific regexp is needed now that ses.el can handle both
6700 file-format 2 --- ie. no local printers --- and 3 --- i.e. may have
6702 (ses-localvars): Add local variables needed for local printer handling.
6703 (ses-set-localvars): Handle hashmap initialisation.
6704 (ses-paramlines-plist): Add param-line for number of local printers.
6705 (ses-paramfmt-plist): New defconst, needed for code factorization
6706 between functions `ses-set-parameter' and
6707 `ses-file-format-extend-paramter-list'
6708 (ses-make-local-printer-info): New defsubst.
6709 (ses-locprn-get-compiled, ses-locprn-compiled-aset)
6710 (ses-locprn-get-def, ses-locprn-def-aset, ses-locprn-get-number)
6711 (ses-cell-printer-aset): New defmacro.
6712 (ses-local-printer-compile): New defun.
6713 (ses-local-printer): New defmacro.
6714 (ses-printer-validate, ses-call-printer): Add support for local
6716 (ses-file-format-extend-paramter-list): New defun.
6717 (ses-set-parameter): Use const `ses-paramfmt-plist' for code
6719 (ses-load): Add support for local printer functions.
6720 (ses-read-printer): Update docstring and add support for local printer
6722 (ses-refresh-local-printer, ses-define-local-printer): New defun.
6723 (ses-safe-printer): Add support for local printer functions.
6725 2014-06-12 Ivan Andrus <darthandrus@gmail.com>
6727 * ffap.el (ffap-lax-url): New var (bug#17723).
6728 (ffap-url-at-point): Use it.
6729 (ffap-file-at-point): Avoid returning just "/".
6731 2014-06-12 Matthias Meulien <orontee@gmail.com>
6733 * progmodes/python.el (import skeleton): New skeleton (bug#17672).
6734 (python-mode-map): Bind it.
6736 * progmodes/python.el (class skeleton): Don't erase last char of class
6739 2014-06-12 Cameron Desautels <camdez@gmail.com> (tiny change)
6741 * help.el (where-is): Use `default' arg of completing-read (bug#17705).
6743 2014-06-12 Kevin Ryde <user42_kevin@yahoo.com.au>
6745 * files.el (auto-mode-alist): Map .ad files to xdefaults-mode
6748 2014-06-12 Stefan Monnier <monnier@iro.umontreal.ca>
6750 * international/mule-cmds.el: Use lexical-binding.
6751 (ucs-names): Simplify.
6753 2014-05-18 Eric Hanchrow <eric.hanchrow@gmail.com>
6755 * progmodes/python.el (run-python): Use read-shell-command.
6757 2014-06-11 Stefan Monnier <monnier@iro.umontreal.ca>
6759 * rect.el: Make it possible to move bounds past EOL or into TABs.
6760 (operate-on-rectangle): Use apply-on-rectangle.
6761 (rectangle--mark-crutches): New var.
6762 (rectangle--pos-cols, rectangle--col-pos, rectangle--point-col)
6763 (rectangle--crutches, rectangle--reset-crutches): New functions.
6764 (apply-on-rectangle): Obey crutches. Avoid setq.
6765 Fix missing final iteration if end is at EOB&BOL.
6766 (rectangle-mark-mode-map): Add remap bindings for
6767 exchange-point-and-mark and char/line movements.
6768 (rectangle--*-char): New function.
6769 (rectangle-exchange-point-and-mark, rectangle-right-char)
6770 (rectangle-left-char, rectangle-forward-char)
6771 (rectangle-backward-char, rectangle-next-line)
6772 (rectangle-previous-line): New commands.
6773 (rectangle--place-cursor): New function.
6774 (rectangle--highlight-for-redisplay): Use it. Use apply-on-rectangle.
6776 2014-06-08 Glenn Morris <rgm@gnu.org>
6778 * startup.el (initial-buffer-choice): Doc fix.
6779 Reset :version (adding an option does not merit a :version bump).
6781 * bookmark.el (bookmark-load):
6782 * uniquify.el (uniquify-buffer-name-style): Doc fixes.
6784 2014-06-08 Juri Linkov <juri@jurta.org>
6786 * desktop.el: Activate auto-saving on window configuration changes.
6787 (desktop-save-mode, desktop-auto-save-timeout): Add/remove
6788 `desktop-auto-save-set-timer' to/from
6789 `window-configuration-change-hook'.
6790 (desktop-auto-save-set-timer): Change REPEAT arg of
6791 `run-with-idle-timer' from t to nil.
6792 http://lists.gnu.org/archive/html/emacs-devel/2014-06/msg00147.html
6794 2014-06-08 Santiago Payà i Miralta <santiagopim@gmail.com>
6796 * vc/vc-hg.el (vc-hg-working-revision): Use "hg parent" and
6797 vc-hg-command (bug#17570).
6799 2014-06-08 Stefan Monnier <monnier@iro.umontreal.ca>
6801 * international/mule-cmds.el (ucs-names): Add special entry for BEL
6804 2014-06-08 Glenn Morris <rgm@gnu.org>
6806 * startup.el (window-setup-hook): Doc fix.
6808 * emacs-lisp/package.el (package-check-signature)
6809 (package-unsigned-archives): Doc fixes.
6811 2014-06-08 Martin Rudalics <rudalics@gmx.at>
6813 * window.el (display-buffer-use-some-window): Don't make window
6814 used smaller than it was before (Bug#17671).
6816 2014-06-08 Eli Zaretskii <eliz@gnu.org>
6818 * menu-bar.el (menu-bar-open): Fix last change: use the PC
6819 'redisplay' instead of '(sit-for 0)'.
6821 2014-06-08 Michael Albinus <michael.albinus@gmx.de>
6823 * net/tramp.el (tramp-ssh-controlmaster-options):
6824 Improve search regexp. (Bug#17653)
6826 2014-06-08 Glenn Morris <rgm@gnu.org>
6828 * emacs-lisp/package.el (package-pinned-packages): Doc fix.
6830 2014-06-08 Eli Zaretskii <eliz@gnu.org>
6832 * menu-bar.el (menu-bar-open): Fix invocation via M-x.
6834 2014-06-06 Santiago Payà i Miralta <santiagopim@gmail.com>
6836 * vc/vc-hg.el (vc-hg-create-tag, vc-hg-retrieve-tag): New functions
6839 * vc/vc-hg.el (vc-hg-log-graph): New var.
6840 (vc-hg-print-log): Use it.
6841 (vc-hg-root-log-format): Include branch name and bookmarks; ignore
6842 graph output (bug#17515).
6844 2014-06-06 Stefan Monnier <monnier@iro.umontreal.ca>
6846 * mouse.el (mouse-posn-property): Ignore buffer position info when the
6847 even happened elsewhere.
6849 2014-06-06 Mario Lang <mlang@delysid.org>
6851 * emacs-lisp/tabulated-list.el (tabulated-list-print): Only call
6852 `recenter' if `current-buffer' is equal to `window-buffer'.
6854 2014-06-05 Leo Liu <sdl.web@gmail.com>
6856 * emacs-lisp/cl-macs.el (cl-macrolet): Avoid excessive progn's.
6858 2014-06-05 Michal Nazarewicz <mina86@mina86.com>
6860 * textmodes/tildify.el (tildify-foreach-region-outside-env):
6861 New function which calls a callback on portions of the buffer that are
6862 outside of ignored environments.
6863 (tildify-build-regexp): Remove function since it is now
6864 incorporated in `tildify-foreach-region-outside-env' where it is
6865 optimized and simplified by the use of `mapconcat'.
6866 (tildify-tildify): Return number of substitutions made so that…
6867 (tildify-count): …can be removed.
6868 (tildify-find-env): Accept a new PAIRS argument which was
6869 previously looked up in `tildify-ignored-environments-alist' each
6870 time the function was called. With this change, the lookup is
6871 performed only once in `tildify-foreach-region-outside-env'.
6872 (tildify-region): Greatly simplify the function since now most of
6873 the work is done by `tildify-foreach-region-outside-env'.
6874 (tildify-mode-alist): Simplify slightly by avoiding if and setq
6875 and instead using or.
6877 * textmodes/tildify.el (tildify-ignored-environments-alist):
6878 Optimize environments regexes
6880 Each time beginning of an environment to ignore is found,
6881 `tildify-find-env' needs to identify regexp for the ending
6882 of the environment. This is done by trying all the opening
6883 regexes on matched text in a loop, so to speed that up, this
6884 loop should have fewer things to match, which can be done by
6885 using alternatives in the opening regexes.
6887 Coincidentally, this should make matching of the opening
6888 regexp faster as well thanks to the use of `regexp-opt' and
6889 having common prefix pulled from many regexes.
6891 * textmodes/tildify.el (tildify-string-alist)
6892 (tildify-ignored-environments-alist): Add `nxml-mode' to the list
6893 of supported modes since `xml-mode' is no longer a thing but just
6894 an alias to the former. Also include comments and insides of tags
6895 in `tildify-ignored-environments-alist' for XML modes. Finally,
6896 since XML does not define “ ”[1], use a numeric reference for
6897 a no-break space (namely “ ”)
6899 [1] XML specification defines only a handful of predefined entities.
6900 The list is at <http://www.w3.org/TR/REC-xml/#sec-predefined-ent>
6901 and includes only <, >, &, ' and " (meaning <,
6902 >, &, ' and " respectively). This is in contrast to HTML and even
6903 XHTML which defined a whole bunch of entities including “ ”.
6905 * textmodes/tildify.el (tildify-pattern-alist)
6906 (tildify-string-alist, tildify-ignored-environments-alist):
6907 Improve defcustom's types by adding more tags explaining what each
6908 value means and replace “sexp” used in
6909 `tildify-ignored-environments-alist' with a full type declaration.
6911 * textmodes/tildify.el (tildify-find-env): Fix matched group
6912 indexes in end-regex building
6914 When looking for a start of an ignore-environment, the regex is built
6915 by concatenating regexes of all the environments configured in
6916 `tildify-ignored-environments-alist'. So for example, the following
6917 list could be used to match TeX's \verb and \verb* commands:
6919 (("\\\\verb\\(.\\)" . (1))
6920 ("\\\\verb\\*\\(.\\)" . (1)))
6922 This would result in the following regex being used to find the start
6923 of any of the variants of the \verb command:
6925 \\\\verb\\(.\\)\\|\\\\verb\\*\\(.\\)
6927 But now, if “\\\\verb\\*\\(.\\)” matches, the first capture group
6928 won't match anything, and thus (match-string 1) will be nil, which
6929 will cause building of the end-matching regex to fail.
6931 Fix this by using capture groups from the time when the opening
6932 regexes are matched individually.
6934 * textmodes/tildify.el (tildify-find-env): Fix end-regex building
6935 in `tildify-find-env'
6937 The `tildify-ignored-environments-alist' allows the end-regex to
6938 be provided not as a static string but mix of strings and indexes
6939 of groups matched the begin-regex. For example, the “\verb!…!”
6940 TeX-command (where “!” is an arbitrary character) is handled
6943 ("\\\\verb\\*?\\(.\\)" . (1))
6945 In the same way, the following should be supported as well:
6947 ("open-\\(.\\)" . ("end-" 1))
6949 However the tildify-find-env function fails at
6952 (if (stringp (setq aux (car expression)))
6953 expression ; BUG: expression is a list
6954 (regexp-quote (match-string aux))))
6956 where the string part is handled incorrectly.
6958 The most trivial fix would be to replace `expression' in the
6959 true-part of the if-statement with `aux', but instead, this commit
6960 optimizes `tildify-find-env' by changing it to use `mapconcat'
6961 rather than open-coded while-loop.
6963 2014-06-05 Mario Lang <mlang@delysid.org>
6965 * woman.el (woman-mapcan): Remove.
6966 (woman-parse-colon-path): Use cl-mapcan instead.
6968 2014-06-03 Rüdiger Sonderfeld <ruediger@c-plusplus.de>
6970 * register.el: Add link to Emacs manual in Commentary.
6972 2014-06-02 Sam Steingold <sds@gnu.org>
6974 * menu-bar.el (lookup-key-ignore-too-long): Extract from...
6975 (popup-menu): ...here.
6976 (menu-bar-open): Use it to avoid an error when `lookup-key'
6979 2014-06-02 Michael Albinus <michael.albinus@gmx.de>
6981 * net/tramp.el (tramp-call-process): Add traces.
6982 (tramp-handle-unhandled-file-name-directory): Return "/".
6984 2014-06-02 Wilson Snyder <wsnyder@wsnyder.org>
6986 Sync with upstream verilog-mode revision 3cd8144.
6987 * progmodes/verilog-mode.el (verilog-mode-version): Bump.
6988 (verilog-auto-arg-format): New option, to support newlines in AUTOARG.
6989 (verilog-type-font-keywords): Add nor.
6990 (verilog-batch-execute-func): Force reading of Local Variables.
6991 Fix printing "no changes to be saved" with verilog-batch.
6992 (verilog-auto-arg-ports): Doc fix.
6993 Add verilog-auto-arg-format to support newlines in AUTOARG.
6994 (verilog-auto-arg): Doc fix.
6996 2014-06-02 Glenn Morris <rgm@gnu.org>
6998 * emulation/crisp.el, emulation/tpu-edt.el, emulation/tpu-extras.el:
6999 * emulation/tpu-mapper.el, emulation/vi.el, emulation/vip.el:
7000 * emulation/ws-mode.el: Move to obsolete/.
7001 * Makefile.in (AUTOGEN_VCS): Update for moved tpu-edu.el.
7003 2014-06-02 Eli Zaretskii <eliz@gnu.org>
7005 * simple.el (keyboard-quit): Force update of mode lines, to remove
7006 the "Def" indicator, if we were defining a macro. (Bug#17615)
7008 2014-06-02 Stefan Monnier <monnier@iro.umontreal.ca>
7010 * minibuffer.el (minibuffer-force-complete-and-exit):
7011 Obey minibuffer-default (bug#17545).
7013 * progmodes/js.el (js-indent-line): Don't mix columns and chars
7016 * subr.el (set-transient-map): Don't wait for some "nested"
7017 transient-map to finish if we're only supposed to be active for
7018 the next command (bug#17642).
7020 2014-06-02 Leo Liu <sdl.web@gmail.com>
7022 * emacs-lisp/gv.el (window-buffer, window-display-table)
7023 (window-dedicated-p, window-hscroll, window-point, window-start):
7024 Fix gv-expander. (Bug#17630)
7026 2014-06-02 Stefan Monnier <monnier@iro.umontreal.ca>
7028 * mouse.el (mouse-posn-property): Ignore posn-point for mode-line
7031 * leim/quail/latin-pre.el ("latin-2-prefix"): Use ",," rather than ", "
7032 for the single comma, since ", " is *very* common in normal French text
7035 2014-06-02 Glenn Morris <rgm@gnu.org>
7037 * emacs-lisp/package.el (package-check-signature)
7038 (package-unsigned-archives): Fix :version.
7040 2014-06-02 Stefan Monnier <monnier@iro.umontreal.ca>
7042 * subr.el (sit-for): Don't run input-methods (bug#15614).
7044 2014-06-02 Glenn Morris <rgm@gnu.org>
7046 * cus-start.el: Fix some :version numbers.
7048 2014-06-02 Stefan Monnier <monnier@iro.umontreal.ca>
7050 * simple.el (deactivate-mark): Set mark-active to nil even if
7051 deactivation is done via setting transient-mark-mode to nil,
7052 since one is buffer-local and the other is global.
7054 * emacs-lisp/byte-opt.el (byte-optimize-binary-predicate): Don't assume
7055 there can't be more than 2 arguments (bug#17584).
7057 2014-06-02 Glenn Morris <rgm@gnu.org>
7059 * simple.el (filter-buffer-substring-functions)
7060 (filter-buffer-substring-function, buffer-substring-filters)
7061 (filter-buffer-substring, buffer-substring--filter): Doc fixes.
7063 * minibuffer.el (completion-in-region-functions, completion-in-region)
7064 (completion--in-region): Doc fixes.
7066 * abbrev.el (abbrev-expand-functions, abbrev-expand-function)
7067 (expand-abbrev, abbrev--default-expand): Doc fixes.
7069 2014-06-02 Paul Eggert <eggert@cs.ucla.edu>
7071 Include sources used to create macuvs.h.
7072 * international/README: Refer to the Unicode Terms of Use rather
7073 than copying it bodily here, as that simplifies maintenance.
7075 2014-06-01 Glenn Morris <rgm@gnu.org>
7077 * loadup.el (load-prefer-newer): Set non-nil when dumping. (Bug#17629)
7079 2014-05-31 Glenn Morris <rgm@gnu.org>
7081 * files.el (locate-dominating-file): Expand file argument. (Bug#17641)
7083 2014-05-30 Glenn Morris <rgm@gnu.org>
7085 * loadup.el: Treat `command-line-args' more flexibly.
7087 2014-05-30 Alan Mackenzie <acm@muc.de>
7089 Guard (looking-at "\\s!") from XEmacs.
7090 * progmodes/cc-engine.el (c-state-pp-to-literal): Add guard form.
7092 2014-05-30 Ken Olum <kdo@cosmos.phy.tufts.edu>
7094 * mail/rmail.el (rmail-delete-forward, rmail-delete-backward):
7095 The argument COUNT is now optional, to be more backward-compatible.
7096 Doc fix. (Bug#17560)
7098 2014-05-29 Reuben Thomas <rrt@sc3d.org>
7100 * whitespace.el (whitespace-report-region):
7101 Simplify documentation.
7102 (whitespace-report-region): Allow report-if-bogus to take the
7103 value `never', for non-interactive use.
7104 (whitespace-report): Refer to whitespace-report-region's
7107 2014-05-29 Stefan Monnier <monnier@iro.umontreal.ca>
7109 * whitespace.el: Use font-lock-flush. Minimize refontifications.
7110 Side benefit: it works without jit-lock.
7111 (whitespace-point--used): New buffer-local var.
7112 (whitespace-color-on): Initialize it and flush it. Use font-lock-flush.
7113 (whitespace-color-off): Use font-lock-flush.
7114 (whitespace-point--used, whitespace-point--flush-used): New functions.
7115 (whitespace-trailing-regexp, whitespace-empty-at-bob-regexp)
7116 (whitespace-empty-at-eob-regexp): Use them.
7117 (whitespace-post-command-hook): Rewrite.
7119 * font-lock.el (font-lock-flush, font-lock-ensure): New functions.
7120 (font-lock-fontify-buffer): Mark interactive-only.
7121 (font-lock-multiline, font-lock-fontified, font-lock-set-defaults):
7123 (font-lock-specified-p): Remove redundant boundp check.
7124 (font-lock-flush-function, font-lock-ensure-function): New vars.
7125 (font-lock-turn-on-thing-lock): Set them.
7126 (font-lock-default-fontify-buffer): Obey font-lock-dont-widen.
7127 (font-lock-after-change-function): Make `old-len' optional.
7128 (font-lock-set-defaults): Remove redundant `set' of font-lock-defaults.
7129 Call font-lock-flush, just in case.
7130 * progmodes/verilog-mode.el (verilog-preprocess): Disable workaround in
7132 * progmodes/vera-mode.el (vera-fontify-buffer): Declare obsolete.
7133 (vera-mode-map, vera-mode-menu): Remove bindings to it.
7134 * progmodes/idlw-help.el (idlwave-help-fontify): Use font-lock-ensure
7135 and with-syntax-table.
7136 * textmodes/conf-mode.el (conf-quote-normal):
7137 * progmodes/sh-script.el (sh-set-shell):
7138 * progmodes/prog-mode.el (prettify-symbols-mode):
7139 * progmodes/f90.el (f90-font-lock-n):
7140 * progmodes/cwarn.el (cwarn-mode):
7141 * nxml/nxml-mode.el (nxml-toggle-char-ref-extra-display):
7142 * progmodes/compile.el (compilation-setup, compilation--unsetup):
7143 * hi-lock.el (hi-lock-mode, hi-lock-unface-buffer)
7144 (hi-lock-set-pattern, hi-lock-set-file-patterns): Use font-lock-flush.
7145 * mail/rmail.el (rmail-variables): Set font-lock-dont-widen instead of
7146 font-lock-fontify-buffer-function and
7147 font-lock-unfontify-buffer-function.
7148 (rmail-unfontify-buffer-function, rmail-fontify-message):
7149 Use with-silent-modifications.
7150 * htmlfontify.el (hfy-force-fontification): Use jit-lock-fontify-now
7151 and font-lock-ensure.
7152 * bs.el (bs-show-in-buffer): Use font-lock-ensure.
7154 2014-05-28 Thien-Thi Nguyen <ttn@gnu.org>
7156 * emacs-lisp/package.el (package-generate-autoloads):
7157 Inhibit backup files.
7159 2014-05-28 Stefan Monnier <monnier@iro.umontreal.ca>
7161 * progmodes/hideshow.el (hs-hide-all): Call syntax-propertize
7164 2014-05-21 Michal Nazarewicz <mina86@mina86.com>
7166 * textmodes/tildify.el (tildify-buffer, tildify-region):
7167 Add dont-ask option.
7169 2014-05-28 Stefan Monnier <monnier@iro.umontreal.ca>
7171 * subr.el (zerop): Move from C. Add compiler-macro (bug#17475).
7172 * emacs-lisp/byte-opt.el (byte-optimize-zerop): Remove.
7174 * subr.el (internal--funcall-interactively): New.
7175 (internal--call-interactively): Remove.
7176 (called-interactively-p): Detect funcall-interactively instead of
7178 * simple.el (repeat-complex-command): Use funcall-interactively.
7179 (repeat-complex-command--called-interactively-skip): Remove.
7181 2014-05-27 Stefan Monnier <monnier@iro.umontreal.ca>
7183 * register.el (register-read-with-preview): Don't burp on
7184 frame switches (e.g. due to the frame we just popped).
7186 * mouse.el (mouse-set-region): Handle spurious drag events (bug#17562).
7187 (mouse-drag-track): Annotate `mouse-drag-start' so we know we moved.
7189 2014-05-26 Andreas Schwab <schwab@linux-m68k.org>
7191 * cus-face.el (custom-face-attributes): Add :distant-foreground.
7193 2014-05-26 Martin Rudalics <rudalics@gmx.at>
7195 * window.el (window--dump-frame): Remove interactive specification.
7197 2014-05-26 Glenn Morris <rgm@gnu.org>
7199 * hippie-exp.el (he-line-search-regexp):
7200 Handle comint-prompt-regexp containing subgroups. (Bug#17529)
7202 2014-05-26 Stephen Berman <stephen.berman@gmx.net>
7204 * calendar/todo-mode.el: Remove dependence on auto-mode-alist,
7205 to avoid errors when trying to create or visit a file foo.todo
7206 located outside to todo-directory, and to allow having such files
7207 without them being tied to Todo mode (bug#17482).
7208 (todo-show, todo-move-category, todo-merge-category, todo-find-archive)
7209 (todo-archive-done-item, todo-find-filtered-items-file)
7210 (todo-filter-items, todo-find-item, todo-diary-goto-entry)
7211 (todo-category-completions, todo-read-category): When visiting a
7212 Todo file, make sure we're in the right mode and the buffer local
7214 (todo-make-categories-list, todo-reset-nondiary-marker)
7215 (todo-reset-done-string, todo-reset-comment-string):
7216 After processing all Todo files, kill the buffers of those files that
7217 weren't being visited before the processing.
7218 (todo-display-as-todo-file, todo-add-to-buffer-list)
7219 (todo-visit-files-commands): Comment out.
7220 (todo-modes-set-3, todo-mode): Comment out additions to find-file-hook.
7221 (auto-mode-alist): Remove add-to-list calls making Todo file
7222 extensions unrestrictedly tied to Todo modes.
7224 2014-05-26 Stefan Monnier <monnier@iro.umontreal.ca>
7226 * emacs-lisp/nadvice.el (advice--member-p): Change second arg.
7227 (advice-function-member-p): Tell it to check both names and functions
7229 (advice--add-function): Adjust call accordingly.
7231 2014-05-26 Stephen Berman <stephen.berman@gmx.net>
7233 * calendar/todo-mode.el: Miscellaneous bug fixes.
7234 (todo-delete-file): When deleting an archive but not its todo
7235 file, make sure to update the todo file's category sexp.
7236 (todo-move-category): Keep the moved category's name unless the
7237 file moved to already has a category with that name. If the
7238 numerically last category of the source file was moved, make the
7239 first category current to avoid selecting a nonexisting category.
7240 (todo-merge-category): Fix implementation to make merging to a
7241 category in another file work as documented. Eliminate now
7242 insufficient and unnecessary renaming of archive category, correct
7243 document string accordingly, and clarify it. If the numerically
7244 last category of the source file was merged, make the first
7245 category current to avoid selecting a nonexisting category.
7246 (todo-archive-done-item): When there are marked items and point
7247 happens to be on an unmarked item, ignore the latter. Don't leave
7248 point below last item after archiving marked items.
7249 (todo-unarchive-items): Fix logic to ensure unarchiving an item
7250 from an archive with only one category deletes the archive only
7251 when the category is empty after unarchiving. Make sure the todo
7252 file's category sexp is updated.
7253 (todo-read-file-name): Allow an existing file name even when it is
7254 not required (todo-move-category needs this to work as documented).
7255 (todo-add-file): Call todo-validate-name to reject the name of an
7256 existing todo file (needed due to fix in todo-read-file-name).
7257 (todo-reset-nondiary-marker): Also reset in filtered items files.
7258 (todo-reset-done-string, todo-reset-comment-string): Also reset in
7259 regexp filtered items files.
7260 (todo-reset-highlight-item): Also reset in filtered items files.
7261 Fix incorrect variable reference in document string.
7263 2014-05-26 Glenn Morris <rgm@gnu.org>
7265 * window.el (window--dump-frame): Avoid error in --without-x builds.
7267 2014-05-26 Glenn Morris <rgm@gnu.org>
7269 * nxml/nxml-mode.el (xml-mode): Only define this alias once.
7271 2014-05-26 Eli Zaretskii <eliz@gnu.org>
7273 * frame.el (set-frame-font): Doc fix.
7275 * menu-bar.el (menu-set-font): Doc fix. (Bug#17532)
7277 2014-05-26 Dmitry Gutov <dgutov@yandex.ru>
7279 * emacs-lisp/package.el (package--download-one-archive):
7280 Use `write-region' instead of `save-buffer' to avoid running various
7282 (describe-package-1): Same. Insert newline at the end of the
7283 buffer if appropriate.
7285 2014-05-26 Juri Linkov <juri@jurta.org>
7287 * avoid.el (mouse-avoidance-set-mouse-position): Don't raise frame.
7288 (mouse-avoidance-ignore-p): Remove `switch-frame', add `focus-out'.
7289 Add more modifiers: meta, control, shift, hyper, super, alt.
7292 * avoid.el (mouse-avoidance-banish-position): Fix defcustom :options
7293 to allow changing its value with `set-variable'.
7295 2014-05-26 Stefan Monnier <monnier@iro.umontreal.ca>
7297 * progmodes/scheme.el (scheme-mode-syntax-table): Remove hack for
7299 (scheme-syntax-propertize, scheme-syntax-propertize-sexp-comment):
7301 (scheme-mode-variables): Set syntax-propertize-function instead of
7302 font-lock-syntactic-face-function.
7303 (scheme-font-lock-syntactic-face-function): Delete.
7305 * emacs-lisp/lisp.el (end-of-defun): Ensure we move (bug#17274).
7307 * emacs-lisp/timer.el (timer-event-handler): Don't run if canceled
7310 2014-05-26 Michael Albinus <michael.albinus@gmx.de>
7312 * net/tramp-sh.el (tramp-find-inline-encoding): Do not match "%%t"
7313 for a temporary file name.
7315 2014-05-26 Eli Zaretskii <eliz@gnu.org>
7317 * simple.el (line-move-ignore-invisible): Doc fix. (Bug#17511)
7319 2014-05-26 Michael Albinus <michael.albinus@gmx.de>
7321 * net/dbus.el (dbus-init-bus, dbus-call-method)
7322 (dbus-call-method-asynchronously, dbus-send-signal)
7323 (dbus-method-return-internal, dbus-method-error-internal):
7324 Check, whether Emacs has been compiled with D-Bus support. (Bug#17508)
7326 2014-05-26 Nicolas Richard <theonewiththeevillook@yahoo.fr>
7328 * emacs-lisp/eieio-opt.el (eieio-help-class): Correctly deal with
7329 methods which do not have a doc string. (Bug#17490)
7331 2014-05-25 Tassilo Horn <tsdh@gnu.org>
7333 * textmodes/reftex-ref.el (reftex-format-special): Make it work
7334 also for AMS Math's \eqref macro.
7336 2014-05-25 Thien-Thi Nguyen <ttn@gnu.org>
7338 Arrange to never byte-compile the generated -pkg.el file.
7340 * emacs-lisp/package.el (package-generate-description-file):
7341 Output first-line comment to set buffer-local var `no-byte-compile'.
7342 Suggested by Dmitry Gutov:
7343 <http://lists.gnu.org/archive/html/emacs-devel/2014-05/msg00401.html>.
7345 2014-05-25 Thien-Thi Nguyen <ttn@gnu.org>
7347 Fix bug: Properly quote args to generated -pkg.el `define-package'.
7349 * emacs-lisp/package.el (package-generate-description-file):
7350 Inline `package--alist-to-plist'; rewrite to selectively
7351 quote alist values that are not self-quoting.
7352 (package--alist-to-plist): Delete func.
7354 2014-05-25 Andreas Schwab <schwab@linux-m68k.org>
7356 * term/xterm.el (xterm-function-map): Add mapping for shifted
7359 2014-05-24 Daniel Colascione <dancol@dancol.org>
7361 * progmodes/subword.el (subword-find-word-boundary): Move point to
7362 correct spot before search. (Bug#17580)
7364 * emacs-lisp/nadvice.el (defun): Write in eval-and-compile to avoid
7367 2014-05-24 Leo Liu <sdl.web@gmail.com>
7369 * calc/calc.el (math-bignum): Handle most-negative-fixnum. (Bug#17556)
7371 2014-05-23 Stefan Monnier <monnier@iro.umontreal.ca>
7373 * minibuffer.el (completion--sreverse): Remove.
7374 (completion--common-suffix): Use `reverse' instead.
7375 * emacs-lisp/regexp-opt.el (regexp-opt-group): Use `reverse' on strings.
7377 2014-05-22 Glenn Morris <rgm@gnu.org>
7379 * shell.el (shell-mode) <shell-dirstack-query>: Bypass bash aliases.
7381 2014-05-21 Daniel Colascione <dancol@dancol.org>
7383 * files.el (interpreter-mode-alist): Add mksh.
7385 * progmodes/sh-script.el (sh-ancestor-alist): Add mksh, a pdksh
7387 (sh-alias-alist): Alias /system/bin/sh (Android's system shell) to
7388 mksh. Improve custom spec; allow regular expressions.
7389 (sh-shell): Delegate name splitting to `sh-canonicalize-shell'.
7390 (sh-after-hack-local-variables): New function.
7391 (sh-mode): Use it; respect file-local `sh-shell' variable. (Bug#17333)
7392 (sh-set-shell): Use `sh-canonicalize-shell' instead of open-coding
7394 (sh-canonicalize-shell): Rewrite to support regexes.
7396 2014-05-21 Leo Liu <sdl.web@gmail.com>
7398 * emacs-lisp/cl-lib.el (cl-endp): Fix last change.
7400 2014-05-19 Leo Liu <sdl.web@gmail.com>
7402 * emacs-lisp/cl-lib.el (cl-endp): Conform to CL's semantics.
7404 2014-05-18 Glenn Morris <rgm@gnu.org>
7407 * play/gametree.el: `track-mouse' is always defined since 2012-11-24.
7409 2014-05-14 Sam Steingold <sds@gnu.org>
7411 * progmodes/python.el (python-shell-get-or-create-process):
7412 Do not bind `current-prefix-arg' so that C-c C-z does not talk
7413 back unless requested.
7415 2014-05-14 Glenn Morris <rgm@gnu.org>
7417 * subr.el (with-file-modes): New macro.
7418 * printing.el (pr-save-file-modes): Make obsolete.
7419 * eshell/esh-util.el (eshell-with-file-modes): Make obsolete.
7420 * emacs-lisp/lisp-mode.el (lisp-el-font-lock-keywords-2):
7421 Add with-file-modes.
7422 * doc-view.el (doc-view-make-safe-dir):
7423 * epg.el (epg--start):
7424 * files.el (locate-user-emacs-file, make-temp-file)
7425 (backup-buffer-copy, move-file-to-trash):
7426 * printing.el (pr-despool-print, pr-call-process, pr-text2ps):
7427 * eshell/esh-util.el (eshell-with-private-file-modes)
7428 (eshell-make-private-directory):
7429 * net/browse-url.el (browse-url-mosaic):
7430 * obsolete/mailpost.el (post-mail-send-it):
7431 * obsolete/pgg-pgp.el (pgg-pgp-verify-region):
7432 * obsolete/pgg-pgp5.el (pgg-pgp5-verify-region):
7433 Use with-file-modes.
7435 * vc/emerge.el (emerge-make-temp-file): Simplify.
7437 2014-05-14 Stephen Berman <stephen.berman@gmx.net>
7438 Stefan Monnier <monnier@iro.umontreal.ca>
7440 * minibuffer.el (completion-pcm--merge-try): Merge trailing / with
7443 2014-05-14 Glenn Morris <rgm@gnu.org>
7445 * vc/emerge.el (emerge-temp-file-prefix):
7446 Make pointless option obsolete.
7447 (emerge-temp-file-mode): Make non-functional option obsolete.
7449 2014-05-14 Michael Albinus <michael.albinus@gmx.de>
7451 * net/browse-url.el (browse-url):
7452 Use `unhandled-file-name-directory' when setting `default-directory',
7453 in order to circumvent stalled remote connections. (Bug#17425)
7455 2014-05-14 Glenn Morris <rgm@gnu.org>
7457 * printing.el (subst-char-in-string, make-temp-file, pr-get-symbol):
7458 Optimize on Emacs, which has the relevant functions for ages.
7460 2014-05-13 Stefan Monnier <monnier@iro.umontreal.ca>
7462 * simple.el (undo-make-selective-list): Obey undo-no-redo.
7464 2014-05-12 Sam Steingold <sds@gnu.org>
7466 * calendar/time-date.el (seconds-to-string): New function to
7467 pretty print time delay in seconds.
7469 2014-05-12 Stefan Monnier <monnier@iro.umontreal.ca>
7471 * mpc.el (mpc-format): Trim Date to the year.
7472 (mpc-songs-hashcons): Shorten the Date field.
7474 * emacs-lisp/nadvice.el (advice--interactive-form): Don't get fooled
7475 into autoloading just because of a silly indirection.
7477 2014-05-12 Santiago Payà i Miralta <santiagopim@gmail.com>
7479 * vc/vc-hg.el (vc-hg-unregister): New function. (Bug#17454)
7481 2014-05-12 Glenn Morris <rgm@gnu.org>
7483 * emacs-lisp/find-gc.el: Move to ../admin.
7485 * printing.el (pr-version):
7486 * ps-print.el (ps-print-version): Also mention bug-gnu-emacs.
7488 * net/browse-url.el (browse-url-mosaic):
7489 Create /tmp/Mosaic.PID as a private file.
7491 2014-05-12 Stefan Monnier <monnier@iro.umontreal.ca>
7493 * emacs-lisp/nadvice.el: Support adding a given function multiple times.
7494 (advice--member-p): If name is given, only compare the name.
7495 (advice--remove-function): Don't stop at the first match.
7496 (advice--normalize-place): New function.
7497 (add-function, remove-function): Use it.
7498 (advice--add-function): Pass the name, if any, to
7499 advice--remove-function.
7501 2014-05-12 Philipp Rumpf <prumpf@gmail.com> (tiny change)
7503 * electric.el (electric-indent-post-self-insert-function): Don't use
7504 `pos' after modifying the buffer (bug#17449).
7506 2014-05-12 Stephen Berman <stephen.berman@gmx.net>
7508 * calendar/todo-mode.el (todo-insert-item-from-calendar):
7509 Correct argument list to conform to todo-insert-item--basic.
7511 2014-05-12 Glenn Morris <rgm@gnu.org>
7513 * files.el (cd-absolute): Test if directory is accessible
7514 rather than executable. (Bug#17330)
7516 * progmodes/compile.el (recompile):
7517 Handle C-u M-x recompile from a non-compilation buffer. (Bug#17444)
7519 * net/browse-url.el (browse-url-mosaic):
7520 Be careful when writing /tmp/Mosaic.PID. (Bug#17428)
7521 This is CVE-2014-3423.
7523 2014-05-11 Stefan Monnier <monnier@iro.umontreal.ca>
7525 * mouse.el: Use the normal toplevel loop while dragging.
7526 (mouse-set-point): Handle multi-clicks.
7527 (mouse-set-region): Handle multi-clicks for drags.
7528 (mouse-drag-region): Update call accordingly.
7529 (mouse-drag-track): Remove `do-mouse-drag-region-post-process' hack.
7530 Use the normal event loop instead of a local while/read-event loop.
7531 (global-map): Remove redundant bindings for double/triple-mouse-1.
7532 * xt-mouse.el (xterm-mouse-translate-1): Only process one event at a time.
7533 Generate synthetic down events when the protocol only sends up events.
7534 (xterm-mouse-last): Remove.
7535 (xterm-mouse--read-event-sequence-1000): Use xterm-mouse-last-down
7536 terminal parameter instead.
7537 (xterm-mouse--set-click-count): New function.
7538 (xterm-mouse-event): Detect/generate double/triple clicks.
7539 * reveal.el (reveal-close-old-overlays): Don't close while dragging.
7541 * info.el (Info-quoted): New face.
7542 (Info-mode-font-lock-keywords): New var.
7543 (Info-mode): Use it.
7545 * emacs-lisp/lisp-mode.el (preceding-sexp): Exclude leading "," which
7546 are a hindrance for C-x C-e.
7548 2014-05-11 Leo Liu <sdl.web@gmail.com>
7550 * net/rcirc.el (rcirc-sentinel): Fix last change.
7552 2014-05-08 Sam Steingold <sds@gnu.org>
7554 * net/rcirc.el (rcirc-reconnect-delay): New user option.
7555 (rcirc-sentinel): Auto-reconnect to the server if
7556 `rcirc-reconnect-delay' is non-0 (but not more often than its
7557 value in case the host is off-line).
7559 2014-05-09 Eli Zaretskii <eliz@gnu.org>
7561 * progmodes/grep.el (lgrep): Fix a typo in last commit.
7563 2014-05-09 Glenn Morris <rgm@gnu.org>
7565 * files.el (file-expand-wildcards):
7566 * man.el (Man-support-local-filenames):
7567 * printing.el (pr-i-directory, pr-interface-directory):
7568 * progmodes/grep.el (lgrep, rgrep):
7569 * textmodes/ispell.el (ispell-call-process)
7570 (ispell-call-process-region, ispell-start-process)
7571 (ispell-init-process): Use file-accessible-directory-p.
7573 2014-05-08 Stefan Monnier <monnier@iro.umontreal.ca>
7575 * xt-mouse.el: Drop spurious/oddly shaped events (bug#17378).
7576 (xterm-mouse--read-event-sequence-1000): Return nil if something
7578 (xterm-mouse-event): Propagate it.
7579 (xterm-mouse-translate-1): Handle it.
7581 2014-05-08 Stephen Berman <stephen.berman@gmx.net>
7583 * calendar/todo-mode.el (todo-insert-item--apply-args): When all
7584 four slots of the parameter list are filled, make sure to pass it
7585 to the argument list of todo-insert-item--basic.
7587 2014-05-08 Stefan Monnier <monnier@iro.umontreal.ca>
7589 * emacs-lisp/package.el (package-compute-transaction): Topological sort.
7590 Add optional `seen' argument to detect and break infinite loops.
7592 2014-05-08 Eli Zaretskii <eliz@gnu.org>
7594 * emacs-lisp/find-gc.el (find-gc-unsafe, find-unsafe-funcs)
7595 (trace-unsafe, trace-use-tree): Make parentheses style be
7596 according to Emacs style.
7598 2014-05-08 Michael Albinus <michael.albinus@gmx.de>
7600 * net/tramp-sh.el (tramp-remote-process-environment):
7601 Remove HISTFILE and HISTSIZE; it's too late to set them here.
7603 (tramp-open-shell): Do not let-bind `tramp-end-of-output'.
7604 Add "HISTFILE=/dev/null" to the shell's env arguments. Do not send
7605 extra "PSx=..." commands.
7606 (tramp-maybe-open-connection): Setenv HISTFILE to /dev/null.
7609 (tramp-uudecode): Replace the hard-coded temporary file name by a
7611 (tramp-remote-coding-commands): Enhance docstring.
7612 (tramp-find-inline-encoding): Replace "%t" by a temporary file
7614 This is CVE-2014-3424.
7616 2014-05-08 Glenn Morris <rgm@gnu.org>
7618 * emacs-lisp/find-gc.el (find-gc-source-directory): Give it a value.
7619 (find-gc-source-files): Update some names.
7620 (trace-call-tree): Simplify and update.
7621 Avoid predictable temp-file names. (http://bugs.debian.org/747100)
7622 This is CVE-2014-3422.
7624 2014-05-08 Stefan Monnier <monnier@iro.umontreal.ca>
7626 * minibuffer.el (completion--try-word-completion): Revert fix for
7627 Bug#15980 (bug#17375).
7629 * xt-mouse.el (xterm-mouse--read-event-sequence-1000): (bug#17378)
7630 Always store button numbers in the same way in xterm-mouse-last;
7631 Don't burp is xterm-mouse-last is not set as expected.
7632 Never return negative indices.
7634 2014-05-08 Dmitry Gutov <dgutov@yandex.ru>
7636 * progmodes/ruby-mode.el (ruby-syntax-propertize-function):
7637 Backtrack one char if the global/char-literal var matcher hits
7638 inside a string. The next char could be the beginning of an
7639 expression expansion.
7641 2014-05-08 Glenn Morris <rgm@gnu.org>
7643 * help-fns.el (describe-function-1): Test for an autoload before a
7644 macro, since `macrop' works on autoloads. (Bug#17410)
7646 2014-05-08 Stefan Monnier <monnier@iro.umontreal.ca>
7648 * electric.el (electric-indent-functions-without-reindent): Add yaml.
7650 * minibuffer.el (completion-table-with-quoting) <completion--unquote>:
7651 Make sure the new point we return is within the new string (bug#17239).
7653 2014-05-05 Daniel Colascione <dancol@dancol.org>
7655 * progmodes/compile.el (compilation-error-regexp-alist-alist):
7656 Port `gnu' pattern to rx.
7658 2014-05-05 Jarek Czekalski <jarekczek@poczta.onet.pl>
7660 Remove unneeded prompt when closing a buffer with active
7661 emacsclient ("Buffer ... still has clients"), #16548.
7662 * server.el (server-start): Remove the only call to:
7663 (server-kill-buffer-query-function): Remove.
7665 2014-05-04 Leo Liu <sdl.web@gmail.com>
7667 * calendar/diary-lib.el (calendar-chinese-month-name-array):
7668 Defvar to pacify compiler.
7670 2014-05-04 Eli Zaretskii <eliz@gnu.org>
7672 * mail/rmailsum.el (rmail-new-summary-1): Fix a typo in a comment.
7674 2014-05-04 Stefan Monnier <monnier@iro.umontreal.ca>
7676 * vc/ediff-diff.el (ediff-set-fine-diff-properties-in-one-buffer):
7677 Use nil rather than `default' for the "default" appearance (bug#17388).
7678 * vc/ediff-util.el (ediff-inferior-compare-regions)
7679 (ediff-toggle-autorefine, ediff-unselect-difference): Don't use
7680 a misleading `default' value when it's really a boolean.
7681 * vc/ediff-init.el (ediff-set-overlay-face): Don't set help-echo if the
7682 overlay is not visible.
7684 2014-05-04 Stephen Berman <stephen.berman@gmx.net>
7686 * calendar/todo-mode.el (todo-edit-file): Use display-warning.
7687 (todo-menu): Uncomment and update.
7689 2014-05-04 Stephen Berman <stephen.berman@gmx.net>
7691 * calendar/todo-mode.el: Reimplement item editing to have the same
7692 basic user interface as item insertion, and make small UI and
7693 larger internal improvements to the latter.
7694 (todo-insert-item): Add reference to the Todo mode user manual to
7695 the documentation string.
7696 (todo-insert-item--basic): Rename from todo-basic-insert-item and
7697 adjust all callers. Change signature to combine diary and
7698 nonmarking arguments. Incorporate functionality of deleted item
7699 copying command and add error checking. Remove detailed
7700 descriptions of the arguments from the documentation string, since
7701 this is treated in the Todo mode user manual.
7702 (todo-copy-item, todo-edit-multiline-item)
7703 (todo-edit-done-item-comment, todo-edit-item-header)
7704 (todo-edit-item-time, todo-edit-item-date-from-calendar)
7705 (todo-edit-item-date-to-today, todo-edit-item-date-day-name)
7706 (todo-edit-item-date-year, todo-edit-item-date-month)
7707 (todo-edit-item-date-day, todo-edit-item-diary-nonmarking):
7709 (todo-edit-item): Reimplement as wrapper command for
7710 todo-edit-item--next-key and make it distinguish done and not done
7712 (todo-edit-item--text): New function, replacing old command
7713 todo-edit-item and incorporating deleted commands
7714 todo-edit-multiline-item and todo-edit-done-item-comment.
7715 (todo-edit-item--header): Rename from todo-basic-edit-item-header.
7716 Use only numeric value of prefix argument. Remove detailed
7717 descriptions of the arguments from the documentation string, since
7718 this is treated in the Todo mode user manual.
7719 (todo-edit-item--diary-inclusion): New function, replacing old
7720 command todo-edit-item-diary-inclusion and incorporating and fixing
7721 functionality of deleted command todo-edit-item-diary-nonmarking,
7722 making sure to remove todo-nondiary-marker when adding
7723 diary-nonmarking-symbol.
7724 (todo-edit-category-diary-inclusion): Make sure to delete
7725 diary-nonmarking-symbol when adding todo-nondiary-marker.
7726 (todo-edit-category-diary-nonmarking): Fix indentation.
7727 (todo-insert-item--parameters): Group diary and nonmarking
7728 parameters together.
7729 (todo-insert-item--apply-args): Adjust to signature of
7730 todo-insert-item--basic and incorporate copy parameter.
7731 Make small code improvements.
7732 (todo-insert-item--next-param): Improve prompt and adjust it to
7733 new parameter grouping. Remove obsolete code.
7734 (todo-edit-item--param-key-alist)
7735 (todo-edit-item--date-param-key-alist)
7736 (todo-edit-done-item--param-key-alist): New defconsts.
7737 (todo-edit-item--prompt): New variable.
7738 (todo-edit-item--next-key): New function.
7739 (todo-key-bindings-t): Bind "e" to todo-edit-item.
7740 Remove bindings of deleted commands.
7742 2014-05-04 Leo Liu <sdl.web@gmail.com>
7744 * emacs-lisp/cl-macs.el (cl-deftype): Fix indentation.
7746 2014-05-04 Glenn Morris <rgm@gnu.org>
7748 * allout-widgets.el (allout-widgets-tally)
7749 (allout-decorate-item-guides):
7750 * menu-bar.el (menu-bar-positive-p):
7751 * minibuffer.el (completion-pcm-complete-word-inserts-delimiters):
7752 * progmodes/gdb-mi.el (gdbmi-same-start, gdbmi-is-number):
7753 * progmodes/js.el (js--inside-param-list-p)
7754 (js--inside-dojo-class-list-p, js--forward-destructuring-spec):
7755 * progmodes/prolog.el (region-exists-p):
7756 * progmodes/verilog-mode.el (verilog-scan-cache-ok-p):
7757 * textmodes/reftex-parse.el (reftex-using-biblatex-p):
7758 Doc fixes (replace `iff').
7760 2014-05-04 Stefan Monnier <monnier@iro.umontreal.ca>
7762 * mpc.el (mpc-volume-mouse-set): Don't burp at the boundaries.
7764 2014-05-04 Leo Liu <sdl.web@gmail.com>
7766 Support Chinese diary entries in calendar and diary. (Bug#17393)
7767 * calendar/cal-china.el (calendar-chinese-month-name-array): New var.
7768 (calendar-chinese-from-absolute-for-diary)
7769 (calendar-chinese-to-absolute-for-diary)
7770 (calendar-chinese-mark-date-pattern, diary-chinese-mark-entries)
7771 (diary-chinese-list-entries): New functions to list and mark
7772 Chinese diary entries in the calendar window.
7773 (diary-chinese-anniversary)
7774 (diary-chinese-insert-anniversary-entry)
7775 (diary-chinese-insert-entry, diary-chinese-insert-monthly-entry)
7776 (diary-chinese-insert-yearly-entry): New commands to insert
7777 Chinese diary entries.
7779 * calendar/diary-lib.el (diary-font-lock-keywords):
7780 Support font-locking Chinese dates.
7782 * calendar/cal-menu.el (cal-menu-diary-menu): Add entries for
7783 inserting Chinese diary entries.
7785 * calendar/calendar.el (diary-chinese-entry-symbol):
7786 New customizable variable.
7787 (calendar-mode-map): Add bindings for inserting Chinese diary
7790 2014-05-03 Juri Linkov <juri@jurta.org>
7792 * dired.el (dired-check-switches, dired-switches-recursive-p):
7793 New functions. (Bug#17218)
7794 (dired-switches-escape-p, dired-move-to-end-of-filename):
7795 Use `dired-check-switches'.
7796 (dired-insert-old-subdirs, dired-build-subdir-alist)
7797 (dired-sort-R-check): Use `dired-switches-recursive-p'.
7799 2014-05-01 Barry O'Reilly <gundaetiapo@gmail.com>
7801 * simple.el (undo-make-selective-list): New algorithm fixes
7802 incorrectness of position adjustments when undoing in region.
7804 (undo-elt-crosses-region): Make obsolete.
7805 (undo-adjust-elt, undo-adjust-beg-end, undo-adjust-pos):
7806 New functions to adjust positions using undo-deltas.
7808 2014-05-01 Stefan Monnier <monnier@iro.umontreal.ca>
7810 * emacs-lisp/lisp-mode.el (lisp--match-hidden-arg): Only highlight past
7811 the last consecutive closing paren (bug#17345).
7813 2014-04-30 Reuben Thomas <rrt@sc3d.org>
7815 * dired.el (dired-mode): make terminology for eXpunge command
7816 consistent. (Bug#17276)
7818 2014-04-30 Eli Zaretskii <eliz@gnu.org>
7820 * dired.el (dired-initial-position-hook, dired-initial-position):
7823 2014-04-30 Glenn Morris <rgm@gnu.org>
7825 * mail/rmail.el (rmail-quit): Handle killed summaries. (Bug#17283)
7827 2014-04-30 Matthias Dahl <matthias.dahl@binary-island.eu>
7829 * faces.el (face-spec-recalc): Apply X resources only after the
7830 defface spec has been applied. Thus, X resources are no longer
7831 overriden by the defface spec which also fixes issues on win32 where
7832 the toolbar coloring was wrong because it is set through X resources
7833 and was (wrongfully) overriden. (Bug#16694)
7835 2014-04-30 Stefan Monnier <monnier@iro.umontreal.ca>
7837 * textmodes/rst.el (electric-pair-pairs): Declare.
7838 (rst-mode): Set it (bug#17131).
7840 2014-04-30 Juri Linkov <juri@jurta.org>
7842 * desktop.el (desktop-value-to-string): Let-bind `print-length'
7843 and `print-level' to nil. (Bug#17351)
7845 2014-04-30 Nicolas Richard <theonewiththeevillook@yahoo.fr>
7847 * battery.el (battery-update): Handle the case where battery
7848 status is "N/A" (bug#17319).
7850 2014-04-28 Stefan Monnier <monnier@iro.umontreal.ca>
7852 * progmodes/ps-mode.el: Use SMIE. Move string and comment recognition
7853 to syntax-propertize.
7854 (ps-mode-auto-indent): Mark as obsolete.
7855 (ps-mode-font-lock-keywords-1): Remove string-or-comment handling.
7856 (ps-mode-font-lock-keywords-3): Use symbol regexp operators instead of
7857 word regexp operators.
7858 (ps-mode-map): Move initialization into declaration. Remove binding
7859 for TAB, RET, >, ], and }.
7860 (ps-mode-syntax-table): Move initialization into declaration.
7861 Don't give word syntax to non-word chars.
7862 (ps-run-mode-map): Move initialization into declaration.
7863 (ps-mode-menu-main): Remove auto-indent entry.
7864 (ps-mode-smie-rules): New function.
7865 (ps-mode): Setup smie, syntax-propertize, and electric-indent-mode.
7866 (ps-mode-looking-at-nested, ps-mode-match-string-or-comment): Remove.
7867 (ps-mode--string-syntax-table): New const.
7868 (ps-mode--syntax-propertize-special, ps-mode-syntax-propertize):
7870 (ps-mode-newline, ps-mode-tabkey, ps-mode-r-brace, ps-mode-r-angle)
7871 (ps-mode-r-gt, ps-mode-r-balance): Remove functions.
7873 2014-04-27 Daniel Colascione <dancol@dancol.org>
7875 * term/xterm.el (xterm-paste): Use large finite timeout when
7876 reading event to avoid putting keys in this-command-keys.
7878 2014-04-25 Stefan Monnier <monnier@iro.umontreal.ca>
7880 * progmodes/perl-mode.el (perl--syntax-exp-intro-regexp): New var.
7881 (perl-syntax-propertize-function): Use it. Extend handling of
7882 here-docs to the unquoted case.
7884 2014-04-25 Eli Zaretskii <eliz@gnu.org>
7886 * tooltip.el (tooltip-show-help-non-mode, tooltip-show-help):
7887 Use equal-including-properties to compare help-echo strings (bug#17331).
7889 2014-04-25 Leo Liu <sdl.web@gmail.com>
7891 * emacs-lisp/lisp-mode.el (emacs-lisp-mode-syntax-table):
7892 Fix syntax for @. (Bug#17325)
7894 2014-04-25 Daniel Colascione <dancol@dancol.org>
7896 * emacs-lisp/cl.el (gv): Require gv early to break eager
7897 macro-expansion cycles.
7899 2014-04-25 Stefan Monnier <monnier@iro.umontreal.ca>
7901 * simple.el (region-active-p): Check there's a mark (bug#17324).
7903 * simple.el (completion-list-mode-map): Use choose-completion for the
7904 mouse binding as well (bug#17302).
7905 (completion-list-mode, completion-setup-function): Adjust docstring and
7906 echo area message accordingly.
7907 * progmodes/idlwave.el (idlwave-choose-completion): Adjust to new
7908 calling convention of choose-completion.
7909 * comint.el (comint-dynamic-list-completions):
7910 * term.el (term-dynamic-list-completions): Accept choose-completion.
7912 * progmodes/perl-mode.el (perl-syntax-propertize-function): Slash after
7913 &, |, +, - and * can't be a division (bug#17317).
7915 * term/xterm.el (xterm--version-handler): Don't use modern xterm
7916 features on gnome-terminal (bug#16988).
7918 2014-04-25 Thien-Thi Nguyen <ttn@gnu.org>
7920 Improve Scheme font-locking for (define ((foo ...) ...) ...).
7922 * progmodes/scheme.el (scheme-font-lock-keywords-1): To find
7923 the declared object, ignore zero or more parens, not zero or one.
7925 2014-04-24 Leo Liu <sdl.web@gmail.com>
7927 * progmodes/xscheme.el (xscheme-expressions-ring)
7928 (xscheme-expressions-ring-yank-pointer, xscheme-running-p)
7929 (xscheme-control-g-disabled-p, xscheme-process-filter-state)
7930 (xscheme-allow-output-p, xscheme-prompt)
7931 (xscheme-string-accumulator, xscheme-mode-string): Use defvar-local.
7933 * progmodes/scheme.el (would-be-symbol, next-sexp-as-string):
7934 Comment out unused functions.
7936 2014-04-24 Stefan Monnier <monnier@iro.umontreal.ca>
7938 * info.el: Use lexical-binding and cl-lib.
7939 Use defvar-local and setq-local instead of make-local-variable.
7940 (Info-apropos-matches): Avoid add-to-list.
7941 (Info-edit-mode-map): Fix obsolescence call to Info-edit-map.
7943 2014-04-24 Daniel Colascione <dancol@dancol.org>
7945 * progmodes/sh-script.el (sh-builtins): Add coproc to list of bash builtins.
7947 2014-04-23 Stefan Monnier <monnier@iro.umontreal.ca>
7949 * emacs-lisp/cl-macs.el (cl--loop-let): Fix last merge.
7951 2014-04-22 Michael Heerdegen <michael_heerdegen@web.de>
7953 * dired.el (dired-insert-set-properties): Do not consider
7954 subdirectory headings and empty lines to be information that
7955 `dired-hide-details-mode' should hide. (Bug#17228)
7957 2014-04-22 Michael Albinus <michael.albinus@gmx.de>
7959 * net/tramp-sh.el (tramp-sh-handle-file-name-all-completions):
7960 Remove test messages.
7961 (tramp-do-copy-or-rename-file-out-of-band): Do not quote `source'
7964 2014-04-22 Stefan Monnier <monnier@iro.umontreal.ca>
7966 * dframe.el (dframe-get-focus): Remove `hook' argument (bug#17311).
7967 * speedbar.el (speedbar-get-focus): Run the "hook" afterwards instead.
7969 * emacs-lisp/cl-macs.el (cl--loop-let): Avoid `nil' as var name.
7971 2014-04-22 Michael Albinus <michael.albinus@gmx.de>
7973 * net/tramp-sh.el (tramp-sh-handle-file-name-all-completions):
7974 Set "IFS=" when using read builtin, in order to preserve spaces in
7975 the file name. Add test messages for hunting a bug on hydra.
7976 (tramp-get-ls-command): Undo using "-b" argument. It doesn't help.
7978 2014-04-22 Stefan Monnier <monnier@iro.umontreal.ca>
7980 * progmodes/prog-mode.el (prettify-symbols--compose-symbol):
7981 Don't prettify a word within a symbol.
7983 2014-04-22 Michael Albinus <michael.albinus@gmx.de>
7985 * net/tramp-sh.el (tramp-get-ls-command): Use "-b" argument if
7988 2014-04-22 Daniel Colascione <dancol@dancol.org>
7990 * emacs-lisp/byte-run.el (function-put): Unbreak build: don't
7991 use defun to define `function-put'.
7993 2014-04-22 Stefan Monnier <monnier@iro.umontreal.ca>
7995 * emacs-lisp/lisp-mode.el (lisp--match-hidden-arg): New function.
7996 (lisp-el-font-lock-keywords-2, lisp-cl-font-lock-keywords-2): Use it.
7997 (lisp-mode-variables): Set font-lock-extra-managed-props.
7999 * emacs-lisp/byte-run.el (function-put): New function.
8000 (defun-declarations-alist): Use it. Add `pure' and `side-effect-free'.
8001 * emacs-lisp/cl-macs.el (cl-defstruct, cl-struct-sequence-type)
8002 (cl-struct-slot-info, cl-struct-slot-offset, cl-struct-slot-value):
8005 2014-04-22 Daniel Colascione <dancol@dancol.org>
8007 * emacs-lisp/macroexp.el (internal-macroexpand-for-load):
8008 Add `full-p' parameter; when nil, call `macroexpand' instead of
8011 * emacs-lisp/byte-run.el (eval-when-compile, eval-and-compile):
8014 * emacs-lisp/bytecomp.el (byte-compile-initial-macro-environment):
8015 Use lambda function values, not quoted lambdas.
8016 (byte-compile-recurse-toplevel): Remove extraneous &optional.
8018 * emacs-lisp/cl-macs.el
8019 (cl-struct-sequence-type, cl-struct-slot-info): Declare pure.
8020 (cl-struct-slot-value): Conditionally use aref or nth so that the
8021 compiler produces optimal code.
8023 2014-04-22 Stefan Monnier <monnier@iro.umontreal.ca>
8025 * emacs-lisp/cl-macs.el (cl-struct-slot-offset): Mark as pure.
8026 (inline): Don't inline cl--set-elt.
8027 (cl-struct-slot-value): Remove explicit gv-setter and compiler-macro.
8028 Define as inlinable instead.
8029 (cl-struct-set-slot-value): Remove.
8031 * emacs-lisp/cl-lib.el (cl--set-elt): Remove.
8032 * emacs-lisp/cl-seq.el (cl-replace, cl-substitute, cl-nsubstitute):
8035 2014-04-21 Daniel Colascione <dancol@dancol.org>
8037 * emacs-lisp/cl-macs.el (cl--const-expr-val): We didn't need the
8038 last two parameters after all.
8039 (cl--expr-contains,cl--compiler-macro-typep,cl--compiler-macro-member)
8040 (cl--compiler-macro-assoc,cl-struct-slot-value)
8041 (cl-struct-set-slot-value): Stop using them.
8043 (2014-04-21 Stefan Monnier <monnier@iro.umontreal.ca>
8045 * image-mode.el (image-mode-window-put): Don't assume there's a `t'
8046 entry in image-mode-winprops-alist.
8048 2014-04-21 Daniel Colascione <dancol@dancol.org>
8050 * emacs-lisp/bytecomp.el (byte-compile-recurse-toplevel): New function.
8051 (byte-compile-recurse-toplevel, byte-compile-initial-macro-environment)
8052 (byte-compile-toplevel-file-form): Use it.
8054 * emacs-lisp/cl-macs.el:
8055 (cl--loop-let): Properly destructure `while' clauses.
8057 2014-04-20 Daniel Colascione <dancol@dancol.org>
8059 * vc/vc.el (vc-root-dir): New public autoloaded function for
8060 generically finding the current VC root.
8061 * vc/vc-hooks.el (vc-not-supported): New error.
8062 (vc-call-backend): Signal `vc-not-supported' instead of generic error.
8064 2014-04-20 Daniel Colascione <dancol@dancol.org>
8066 * emacs-lisp/cl-macs.el (cl-the): Make `cl-the' assert its type
8068 (cl--const-expr-val): cl--const-expr-val should macroexpand its
8069 argument in case we're inside a symbol-macrolet.
8070 (cl--do-arglist, cl--compiler-macro-typep)
8071 (cl--compiler-macro-member, cl--compiler-macro-assoc): Pass macro
8072 environment to `cl--const-expr-val'.
8073 (cl-struct-sequence-type,cl-struct-slot-info)
8074 (cl-struct-slot-offset, cl-struct-slot-value)
8075 (cl-struct-set-slot-value): New functions.
8077 2014-04-19 Stefan Monnier <monnier@iro.umontreal.ca>
8079 * progmodes/sh-script.el (sh-smie--sh-keyword-p): Handle variable
8080 assignments such as "case=hello" (bug#17297).
8082 2014-04-18 Michael Albinus <michael.albinus@gmx.de>
8084 * net/tramp.el (tramp-run-real-handler, tramp-file-name-handler):
8086 (tramp-file-name-handler, tramp-completion-file-name-handler):
8087 Revert patch from 2014-04-10, it isn't necessary anymore.
8088 (tramp-autoload-file-name-handler)
8089 (tramp-register-autoload-file-name-handlers): New defuns.
8090 (top): Autoload call of `tramp-register-autoload-file-name-handlers'.
8091 (tramp-register-file-name-handlers): Remove also
8092 `tramp-autoload-file-name-handler' from `file-name-handler-list'.
8093 Do not autoload its invocation, but eval it after loading of 'tramp.
8095 * net/tramp-adb.el (tramp-unload-hook): Unload `tramp-adb'.
8097 * net/tramp-compat.el (tramp-unload-hook): Unload `tramp-loaddefs'.
8099 2014-04-17 Daniel Colascione <dancol@dancol.org>
8101 Add support for bracketed paste mode; add infrastructure for
8102 managing terminal mode enabling and disabling automatically.
8105 (xterm-mouse-mode): Simplify.
8106 (xterm-mouse-tracking-enable-sequence)
8107 (xterm-mouse-tracking-disable-sequence): New constants.
8108 (turn-on-xterm-mouse-tracking-on-terminal)
8109 (turn-off-xterm-mouse-tracking-on-terminal):
8110 Use tty-mode-set-strings and tty-mode-reset-strings terminal
8111 parameters instead of random hooks.
8112 (turn-on-xterm-mouse-tracking)
8113 (turn-off-xterm-mouse-tracking): Delete.
8115 * term/xterm.el (xterm-extra-capabilities): Fix bitrotted comment.
8116 (xterm-paste-ending-sequence): New constant.
8117 (xterm-paste): New command used for bracketed paste support.
8119 (xterm-modify-other-keys-terminal-list): Delete obsolete variable.
8120 (terminal-init-xterm-bracketed-paste-mode): New function.
8121 (terminal-init-xterm): Call it.
8122 (terminal-init-xterm-modify-other-keys): Use tty-mode-set-strings
8123 and tty-mode-reset-strings instead of random hooks.
8124 (xterm-turn-on-modify-other-keys)
8125 (xterm-turn-off-modify-other-keys)
8126 (xterm-remove-modify-other-keys): Delete obsolete functions.
8128 * term/screen.el: Rewrite to just use the xterm code.
8129 Add copyright notice. Mention tmux.
8131 2014-04-17 Ian D <dunni@gnu.org> (tiny change)
8133 * image-mode.el (image-mode-window-put): Also update the property of
8134 the "default window".
8135 * doc-view.el (doc-view-new-window-function): If no window
8136 exists, move to the last known page.
8138 2014-04-16 Stefan Monnier <monnier@iro.umontreal.ca>
8140 * progmodes/perl-mode.el (perl-calculate-indent): Don't auto-indent in
8141 here-documents (bug#17262).
8143 2014-04-16 Eli Zaretskii <eliz@gnu.org>
8145 * term/pc-win.el (x-list-fonts, x-get-selection-value):
8146 Provide doc strings, as required by snarf-documentation.
8148 2014-04-16 Stefan Monnier <monnier@iro.umontreal.ca>
8150 * ps-def.el (ps-generate-postscript-with-faces1): Use the new `sorted'
8151 arg of overlays-at. Use `invisible-p'.
8153 * obsolete/lucid.el (extent-at):
8154 * htmlfontify.el (hfy-overlay-props-at): Use the new `sorted' arg of
8156 (hfy-fontify-buffer): Remove unused var `orig-ovls'.
8158 2014-04-16 João Távora <joaotavora@gmail.com>
8160 * net/shr.el (shr-expand-url): Use `expand-file-name' for relative
8163 2014-04-16 YAMAMOTO Mitsuharu <mituharu@math.s.chiba-u.ac.jp>
8165 * vc/ediff-diff.el (ediff-set-fine-diff-properties-in-one-buffer):
8166 Use mapc to loop over a vector. (Bug#17257).
8168 2014-04-16 Michael Albinus <michael.albinus@gmx.de>
8170 * net/tramp-sh.el (tramp-sh-handle-file-truename): Revert previous
8171 patch, there are new problems with file names containing spaces.
8172 Get rid of backticks. (Bug#17238)
8174 2014-04-16 João Távora <joaotavora@gmail.com>
8176 * elec-pair.el (electric-pair--syntax-ppss): Simplify and fix
8179 2014-04-16 Eli Zaretskii <eliz@gnu.org>
8181 * frame.el (blink-cursor-blinks, blink-cursor-blinks-done): Doc fixes.
8182 (blink-cursor-mode): Mention customization variables and the
8183 effect of 'blink-cursor-blinks'.
8185 2014-04-16 Barry O'Reilly <gundaetiapo@gmail.com>
8187 * simple.el (undo): Prevent insertion of identity mapping into
8188 undo-equiv-table so as undo-only does not inf loop in the presence
8189 of consecutive nils in undo list.
8191 2014-04-16 Matthias Dahl <matthias.dahl@binary-island.eu>
8193 * faces.el (make-face): Deprecate optional argument as it is no
8194 longer needed/used since the conditional X resources handling
8195 has been pushed down to make-face-x-resource-internal itself.
8196 (make-empty-face): Don't pass optional argument to make-face.
8198 2014-04-16 Karl Fogel <kfogel@red-bean.com>
8200 * savehist.el (savehist-save): Remove workaround for a read-passwd
8201 bug that was fixed before 24.3. Thanks to Juanma Barranquero for
8202 noticing that the shim was still present.
8204 2014-04-14 Stefan Monnier <monnier@iro.umontreal.ca>
8206 * doc-view.el (doc-view-set-doc-type): Ignore file name case; add .pps.
8208 2014-04-14 Juanma Barranquero <lekktu@gmail.com>
8210 * faces.el (face-set-after-frame-default): Remove unused local variable.
8212 2014-04-12 Stefan Monnier <monnier@iro.umontreal.ca>
8214 * progmodes/grep.el: Use lexical-binding.
8215 (grep-expand-template): Pass explicit lexical env to `eval'.
8216 (zrgrep): Let-bind grep-find-template explicitly.
8218 * emacs-lisp/cl-lib.el (current-case-table): Remove setter.
8219 * leim/quail/sisheng.el (sisheng-list): Use with-case-table.
8221 2014-04-12 Eli Zaretskii <eliz@gnu.org>
8223 * international/characters.el <standard-case-table>: Add entries
8224 for letters from the Coptic block u+2C80-u+2CFF. (Bug#17243)
8225 Set category of Coptic characters be 'g' (Greek).
8227 2014-04-12 Leo Liu <sdl.web@gmail.com>
8229 * progmodes/octave.el (completion-table-with-cache):
8230 Define if not available.
8231 (octave-goto-function-definition, octave-sync-function-file-names)
8232 (octave-find-definition-default-filename):
8233 Backquote upattern for compatibility.
8235 2014-04-12 Michael Albinus <michael.albinus@gmx.de>
8237 * net/tramp-sh.el (tramp-sh-handle-file-truename): Quote the file
8238 name twice due to backticks. (Bug#17238)
8240 2014-04-12 Glenn Morris <rgm@gnu.org>
8242 * term/w32-win.el (x-win-suspend-error):
8243 * term/x-win.el (x-win-suspend-error): Sync docs.
8245 2014-04-12 Matthias Dahl <matthias.dahl@binary-island.eu>
8247 * faces.el (make-face): Remove deprecated optional argument.
8248 The conditional application of X resources is handled directly by
8249 make-face-x-resource-internal since Emacs 24.4.
8250 (make-empty-face): Don't pass optional argument to make-face.
8252 2014-04-11 Glenn Morris <rgm@gnu.org>
8254 * Makefile.in (EMACSDATA, EMACSDOC, EMACSPATH): Unexport. (Bug#16429)
8256 2014-04-11 Stefan Monnier <monnier@iro.umontreal.ca>
8258 Ediff's overlay priorities cause more trouble than they solve.
8259 * vc/ediff-init.el (ediff-shadow-overlay-priority): Remove variable.
8260 (ediff-highest-priority): Remove function (bug#17234).
8261 * vc/ediff-util.el (ediff-highlight-diff-in-one-buffer):
8262 * vc/ediff-diff.el (ediff-set-diff-overlays-in-one-buffer)
8263 (ediff-set-fine-diff-properties-in-one-buffer): Don't mess with
8266 2014-04-11 Feng Li <fengli@gmail.com> (tiny change)
8268 * progmodes/pascal.el (pascal-font-lock-keywords): Fix incorrect format
8269 entry; use symbol boundaries to avoid mis-matches.
8271 2014-04-11 Michael Albinus <michael.albinus@gmx.de>
8273 * net/tramp.el (tramp-file-name-handler)
8274 (tramp-completion-file-name-handler): Avoid recursive loading.
8276 * net/tramp-sh.el (tramp-make-copy-program-file-name):
8277 Quote result also locally.
8279 2014-04-11 Stefan Monnier <monnier@iro.umontreal.ca>
8281 * emulation/cua-base.el (<toplevel>, cua--pre-command-handler-1):
8282 Remove left-over code.
8284 * newcomment.el (comment-indent-new-line): Sink code where it's used.
8285 Reuse the previous comment's indentation unconditionally if it's on its
8288 2014-04-09 Daniel Colascione <dancol@dancol.org>
8290 * emacs-lisp/lisp.el (backward-up-list): Add `escape-strings',
8291 `no-syntax-crossing' arguments. Forward to `up-list'.
8292 (up-list): Add `escape-strings', `no-syntax-crossing' arguments.
8293 Implement logic for escaping from strings. Use narrowing to deal
8296 2014-04-09 Leo Liu <sdl.web@gmail.com>
8298 * net/rcirc.el (rcirc-connection-info): New variable.
8299 (rcirc-connect): Use it to store connection info.
8300 (rcirc-buffer-process): Avoid get-buffer-process which returns nil
8302 (rcirc-cmd-reconnect): New command. (Bug#17045)
8303 (rcirc-mode, set-rcirc-encode-coding-system)
8304 (set-rcirc-decode-coding-system, rcirc-connect): Use setq-local.
8306 2014-04-09 Daniel Colascione <dancol@dancol.org>
8308 * emacs-lisp/cl-indent.el: Add comment claiming
8309 facility is also good for elisp.
8310 (lisp-indent-find-method): New function.
8311 (common-lisp-indent-function): Recognize cl-loop.
8312 (common-lisp-indent-function-1): Recognize cl constructs; use
8313 `lisp-indent-find-method' instead of `get' directly.
8314 (if): Use else-body style for elisp.
8316 2014-04-09 Dmitry Gutov <dgutov@yandex.ru>
8318 * progmodes/ruby-mode.el (ruby-font-lock-keywords): Highlight more
8319 Module methods. (Bug#17216)
8321 2014-04-09 Stefan Monnier <monnier@iro.umontreal.ca>
8323 * help.el (describe-bindings): Fix buffer handling (bug#17210).
8324 (describe-bindings-internal): Mark obsolete.
8326 2014-04-09 Stefan Monnier <monnier@iro.umontreal.ca>
8328 * subr.el (with-silent-modifications): Don't bind deactivate-mark,
8329 buffer-file-name, and buffer-file-truename any more.
8331 2014-04-08 Leo Liu <sdl.web@gmail.com>
8333 Use lexical-binding and require cl-lib.
8334 * net/rcirc.el (rcirc, rcirc-handler-ctcp-KEEPALIVE)
8335 (rcirc-handler-generic, rcirc-fill-paragraph)
8336 (rcirc-format-response-string, rcirc-target-buffer)
8337 (rcirc-last-line, rcirc-record-activity, rcirc-split-activity)
8338 (rcirc-activity-string, rcirc-make-trees, rcirc-cmd-ctcp)
8339 (rcirc-ctcp-sender-PING, rcirc-browse-url)
8340 (rcirc-markup-timestamp, rcirc-markup-attributes)
8341 (rcirc-markup-my-nick, rcirc-markup-urls)
8342 (rcirc-markup-bright-nicks, rcirc-markup-fill)
8343 (rcirc-check-auth-status, rcirc-handler-WALLOPS)
8344 (rcirc-handler-JOIN, rcirc-handler-PART-or-KICK)
8345 (rcirc-handler-PART, rcirc-handler-KICK, rcirc-handler-QUIT)
8346 (rcirc-handler-NICK, rcirc-handler-PING, rcirc-handler-PONG)
8347 (rcirc-handler-TOPIC, rcirc-handler-301, rcirc-handler-317)
8348 (rcirc-handler-332, rcirc-handler-333, rcirc-handler-477)
8349 (rcirc-handler-MODE, rcirc-handler-353, rcirc-handler-366)
8350 (rcirc-authenticate, rcirc-handler-INVITE, rcirc-handler-ERROR)
8351 (rcirc-handler-ctcp-VERSION, rcirc-handler-ctcp-TIME)
8352 (rcirc-handler-CTCP-response): Fix unused arguments warnings and
8355 2014-04-07 João Távora <joaotavora@gmail.com>
8357 * elec-pair.el (electric-pair--syntax-ppss):
8358 When inside comments parse from comment beginning.
8359 (electric-pair--balance-info): Fix typo in comment.
8360 (electric-pair--in-unterminated-string-p): Delete.
8361 (electric-pair--unbalanced-strings-p): New function.
8362 (electric-pair-string-bound-function): New var.
8363 (electric-pair-inhibit-if-helps-balance): Decide quote pairing
8364 according to `electric-pair--in-unterminated-string-p'
8366 * elec-pair.el (electric-pair-inhibit-if-helps-balance):
8367 Inhibit quote pairing if point-max is inside an unterminated string.
8368 (electric-pair--looking-at-unterminated-string-p): Delete.
8369 (electric-pair--in-unterminated-string-p): New function.
8371 2014-04-07 Glenn Morris <rgm@gnu.org>
8373 * shell.el (shell-directory-tracker):
8374 Go back to just ignoring failures. (Bug#17159)
8376 2014-04-07 João Távora <joaotavora@gmail.com>
8378 Fix `electric-pair-delete-adjacent-pairs' in modes binding
8379 backspace. (Bug#16981)
8380 * elec-pair.el (electric-pair-backward-delete-char): Delete.
8381 (electric-pair-backward-delete-char-untabify): Delete.
8382 (electric-pair-mode-map): Bind backspace to a menu item filtering
8383 a new `electric-pair-delete-pair' command.
8384 (electric-pair-delete-pair): New command.
8386 * progmodes/python.el (python-electric-pair-string-delimiter):
8387 Fix triple-quoting electricity. (Bug#17192)
8389 * elec-pair.el (electric-pair-post-self-insert-function):
8390 Don't skip whitespace when `electric-pair-text-pairs' and
8391 `electric-pair-pairs' were used. syntax to
8392 electric-pair--skip-whitespace. (Bug#17183)
8394 2014-04-07 Eli Zaretskii <eliz@gnu.org>
8396 * leim/quail/ipa.el (ipa-x-sampa): Fix the character produced for
8399 2014-04-07 Stefan Monnier <monnier@iro.umontreal.ca>
8401 * mpc.el (mpc--status-timer-run): Disable timer if not displayed.
8402 (mpc--status-idle-timer-run): Use mpc--status-timer-run.
8404 2014-04-07 Glenn Morris <rgm@gnu.org>
8406 * help.el (view-lossage): Doc tweak.
8408 2014-04-07 Matthias Dahl <ml_emacs-lists@binary-island.eu>
8410 * faces.el (face-spec-recalc): Call make-face-x-resource-internal
8411 only when inhibit-x-resources is nil, and do that earlier in the
8412 function. Doc fix. (Bug#16694)
8413 (face-spec-choose): Accept additional optional argument, whose
8414 value is returned if no matching attributes are found.
8415 (face-spec-recalc): Use the new optional argument when calling
8416 face-spec-choose. (Bug#16378)
8417 (make-face-x-resource-internal): Do nothing when
8418 inhibit-x-resources is non-nil. Don't touch the default face if
8419 reversed video is given--as was done in previous versions of Emacs.
8420 (face-set-after-frame-default): Don't call
8421 make-face-x-resource-internal here. (Bug#16434)
8423 2014-04-07 Tassilo Horn <tsdh@gnu.org>
8425 * doc-view.el (doc-view-bookmark-jump):
8426 Use `bookmark-after-jump-hook' to jump to the right page after the
8427 buffer is shown in a window. (bug#16090)
8429 2014-04-07 Eli Zaretskii <eliz@gnu.org>
8431 * international/characters.el (mirroring): Fix last change:
8432 instead of loading uni-mirrored.el explicitly, do that implicitly
8433 by creating the 'mirroring' uniprop table. This avoids announcing
8434 the loading of uni-mirrored.el.
8436 2014-04-07 Glenn Morris <rgm@gnu.org>
8438 * files.el (buffer-stale--default-function)
8439 (buffer-stale-function, revert-buffer--default):
8440 * autorevert.el (auto-revert-buffers): Doc tweaks.
8442 2014-04-07 Eli Zaretskii <eliz@gnu.org>
8444 * international/characters.el: Preload uni-mirrored.el. (Bug#17169)
8446 2014-04-07 Glenn Morris <rgm@gnu.org>
8448 * files.el (make-backup-file-name-function)
8449 (make-backup-file-name, make-backup-file-name--default-function)
8450 (make-backup-file-name-1, find-backup-file-name)
8451 (revert-buffer-function, revert-buffer-insert-file-contents-function)
8452 (buffer-stale--default-function, buffer-stale-function)
8453 (before-revert-hook, after-revert-hook, revert-buffer-in-progress-p)
8454 (revert-buffer, revert-buffer--default)
8455 (revert-buffer-insert-file-contents--default-function):
8456 Doc fixes related to defaults no longer being nil.
8457 (make-backup-file-name-function): Bump :version.
8458 Restore nil as a valid but deprecated custom type.
8460 2014-04-07 Stefan Monnier <monnier@iro.umontreal.ca>
8462 * progmodes/perl-mode.el (perl-syntax-propertize-function):
8463 Handle $' used as a variable (bug#17174).
8465 * progmodes/perl-mode.el (perl-indent-new-calculate):
8466 Handle forward-sexp failure (bug#16985).
8467 (perl-syntax-propertize-function): Add "foreach" and "for" statement
8468 modifiers introducing expressions (bug#17116).
8470 2014-04-06 Stefan Monnier <monnier@iro.umontreal.ca>
8472 * dired-aux.el (dired-file-set-difference): Use lexical-scoping.
8474 2014-04-05 Leo Liu <sdl.web@gmail.com>
8476 * emacs-lisp/lisp-mode.el (lisp-imenu-generic-expression):
8477 Add define-compilation-mode.
8479 2014-04-04 João Távora <joaotavora@gmail.com>
8481 * elec-pair.el (electric-pair--syntax-ppss): When inside comments
8482 parse from comment beginning.
8483 (electric-pair--balance-info): Fix typo in comment.
8484 (electric-pair--in-unterminated-string-p): Delete.
8485 (electric-pair--unbalanced-strings-p): New function.
8486 (electric-pair-string-bound-function): New var.
8487 (electric-pair-inhibit-if-helps-balance): Decide quote pairing
8488 according to `electric-pair--in-unterminated-string-p'.
8490 2014-04-04 Stefan Monnier <monnier@iro.umontreal.ca>
8492 * textmodes/reftex-parse.el (reftex--index-tags): Rename `index-tags'.
8493 Move declaration before first use.
8494 (reftex-move-to-next-arg): Silence compiler warning.
8496 2014-04-04 Joost Kremers <joostkremers@fastmail.fm> (tiny change)
8498 * textmodes/reftex-toc.el (reftex-toc, reftex-re-enlarge):
8499 Use `window-total-width' instead of `window-width'.
8501 2014-04-03 Daniel Colascione <dancol@dancol.org>
8503 * subr.el (set-transient-map): Remove rms's workaround entirely;
8504 use new `suspicious-object' subr to mark our lambda for closer
8507 2014-04-02 Richard Stallman <rms@gnu.org>
8509 * subr.el (set-transient-map): Comment out previous change.
8511 2014-04-02 Glenn Morris <rgm@gnu.org>
8513 * menu-bar.el (menu-bar-file-menu):
8514 * vc/ediff.el (ediff-current-file):
8515 Update for revert-buffer-function no longer being nil by default.
8517 * simple.el (command-execute): Respect nil disabled-command-function.
8519 2014-04-02 Nicolas Richard <theonewiththeevillook@yahoo.fr>
8521 * simple.el (command-execute): Do not execute the command when it
8522 is disabled; fixes thinko in 2013-02-20 conversion from C. (Bug#17151)
8524 2014-04-02 Juri Linkov <juri@jurta.org>
8526 * dired-aux.el (dired-compress-file): Don't use string-match-p
8527 because its match data is used afterwards.
8529 2014-04-02 Stefan Monnier <monnier@iro.umontreal.ca>
8531 * emacs-lisp/package.el (package-built-in-p): Treat a min-version of
8534 2014-04-02 João Távora <joaotavora@gmail.com>
8536 * elec-pair.el (electric-pair-inhibit-if-helps-balance):
8537 Inhibit quote pairing if point-max is inside an unterminated string.
8538 (electric-pair--looking-at-unterminated-string-p):
8540 (electric-pair--in-unterminated-string-p): New function.
8542 2014-04-01 Daniel Colascione <dancol@dancol.org>
8544 * minibuffer.el (minibuffer-complete): Prevent assertion failure
8545 when trying to complete the prompt.
8547 2014-03-31 Leo Liu <sdl.web@gmail.com>
8549 * emacs-lisp/eldoc.el (eldoc-print-current-symbol-info):
8550 Refactor out eldoc-documentation-function-default.
8551 (eldoc-documentation-function-default): New function.
8552 (eldoc-documentation-function): Change value.
8554 2014-03-31 Glenn Morris <rgm@gnu.org>
8556 * simple.el (cycle-spacing--context, cycle-spacing): Doc tweaks.
8558 * progmodes/vhdl-mode.el (vhdl-speedbar-select-mra)
8559 (vhdl-compose-components-package, vhdl-compose-configuration):
8560 Abbreviate default-directory (missing from some previous upstream sync).
8562 2014-03-31 Reto Zimmermann <reto@gnu.org>
8564 Sync with upstream vhdl mode v3.35.2.
8565 * progmodes/vhdl-mode.el (vhdl-version, vhdl-time-stamp): Update.
8566 (top-level): No longer require assoc.
8567 (vhdl-asort, vhdl-anot-head-p, vhdl-aput, vhdl-adelete, vhdl-aget):
8568 New functions. Use throughout to replace aget etc.
8569 (vhdl-aput-delete-if-nil): Rename from vhdl-aput.
8570 (vhdl-update-file-contents): Update for vhdl-aput-delete-if-nil rename.
8571 (vhdl-template-replace-header-keywords): Fix bug for "<title string>".
8572 (vhdl-compile-init): Do not initialize regexps for Emacs 22+.
8573 (vhdl-error-regexp-emacs-alist): Remove regexps from all compilers
8574 except `vhdl-compiler'.
8575 (vhdl-error-regexp-add-emacs): Remove all other compilers,
8578 2014-03-31 Glenn Morris <rgm@gnu.org>
8580 * progmodes/vhdl-mode.el (vhdl-expand-abbrev, vhdl-expand-paren):
8581 Revert 2014-03-26 merge goof; go back to using defalias.
8583 2014-03-30 Daniel Colascione <dancol@dancol.org>
8585 * comint.el (comint-send-input):
8586 Deactivate completion-in-region-mode before we send comint input.
8589 * simple.el (keyboard-quit): Deactivate completion-in-region-mode
8592 2014-03-29 Glenn Morris <rgm@gnu.org>
8594 * textmodes/reftex.el: Manage most autoloads automatically.
8595 * textmodes/reftex-auc.el, textmodes/reftex-cite.el:
8596 * textmodes/reftex-dcr.el, textmodes/reftex-global.el:
8597 * textmodes/reftex-index.el, textmodes/reftex-parse.el:
8598 * textmodes/reftex-ref.el, textmodes/reftex-sel.el:
8599 * textmodes/reftex-toc.el: Set generated-autoload-file,
8600 and add autoload cookies for reftex.el.
8601 * Makefile.in (AUTOGEN_VCS): Add textmodes/reftex.el.
8603 2014-03-28 Glenn Morris <rgm@gnu.org>
8605 * cus-start.el (report-emacs-bug-address): Set custom properties.
8606 * mail/emacsbug.el (report-emacs-bug-address):
8607 Variable is now defined in emacs.c.
8609 * mail/emacsbug.el (report-emacs-bug):
8610 Include system-configuration-features.
8612 2014-03-28 Michal Nazarewicz <mina86@mina86.com>
8614 * simple.el (cycle-spacing): Never delete spaces on first run by
8615 default, but do so in a new 'fast mode and if there are already
8616 N spaces (the previous behavior).
8617 Compare N with its value in previous invocation so that changing
8618 prefix argument restarts `cycle-spacing' sequence.
8619 The idea is that with this change, binding M-SPC to
8620 `cycle-spacing' should not introduce any changes in behavior of
8621 the binding so long as users do not type M-SPC twice in a raw with
8622 the same prefix argument or lack thereof.
8624 2014-03-28 Glenn Morris <rgm@gnu.org>
8626 * faces.el (term-file-aliases): New variable.
8627 (tty-run-terminal-initialization): Respect term-file-aliases.
8628 * term/apollo.el, term/vt102.el, term/vt125.el, term/vt201.el:
8629 * term/vt220.el, term/vt240.el, term/vt300.el, term/vt320.el:
8630 * term/vt400.el, term/vt420.el: Remove files, replaced by aliases.
8632 2014-03-27 Glenn Morris <rgm@gnu.org>
8634 * startup.el (inhibit-startup-hooks): Doc tweak.
8635 (normal-top-level): Simplify running of hooks.
8636 For window-setup-hook, respect inhibit-startup-hooks.
8637 (command-line-1): Don't set window-setup-hook to nil.
8639 Allow selective autoloading from obsolete/ directory.
8640 * Makefile.in (obsolete-autoloads): New rule.
8641 (autoloads): Run obsolete-autoloads.
8642 * obsolete/iswitchb.el (iswitchb-mode): Use obsolete-autoload.
8643 * simple.el (iswitchb-mode): Remove hand-written autoloads.
8645 2014-03-27 Dmitry Gutov <dgutov@yandex.ru>
8647 * progmodes/ruby-mode.el (ruby-font-lock-keywords):
8648 Highlight special globals with font-lock-builtin-face. (Bug#17057)
8650 * progmodes/ruby-mode.el (ruby-syntax-propertize-function):
8651 Don't propertize `?' or `!' as symbol constituent when after
8654 2014-03-27 Juanma Barranquero <lekktu@gmail.com>
8656 * frameset.el (frameset--restore-frame): Remove workaround for bug#14795
8657 which is no longer needed and causes trouble in GTK builds (bug#17046).
8659 * emacs-lisp/package-x.el (package--archive-contents-from-url):
8660 Use url-insert-file-contents; package-handle-response no longer exists.
8662 2014-03-26 Daniel Colascione <dancol@dancol.org>
8664 * simple.el (process-menu-mode-map): New variable.
8665 (process-menu-delete-process): New command.
8667 2014-03-26 Juanma Barranquero <lekktu@gmail.com>
8669 * emacs-lisp/package.el: Fix bug#16733 (again).
8670 (url-http-parse-response, url-http-end-of-headers, url-recreate-url)
8671 (url-http-target-url): Remove unused declarations.
8672 (package-handle-response): Remove.
8673 (package--with-work-buffer): Use url-insert-file-contents and simplify.
8674 (package--download-one-archive): Use current-buffer instead of
8675 dynamic binding of `buffer'.
8676 (describe-package-1): Do not decode readme-string.
8678 2014-03-26 Michael Albinus <michael.albinus@gmx.de>
8680 * net/tramp.el (tramp-methods, tramp-connection-timeout): Fix docstring.
8682 * net/tramp-sh.el (tramp-sh-handle-vc-registered): Revert change
8683 from 2014-03-07, it decreases performance unnecessarily. Let-bind
8684 `remote-file-name-inhibit-cache' to nil in the second pass.
8685 (tramp-find-executable): Do not call "which" on SunOS.
8686 (tramp-send-command-and-check): Fix docstring.
8687 (tramp-do-copy-or-rename-file-directly): In the `rename' case,
8688 check whether source directory has set the sticky bit.
8690 2014-03-26 Barry O'Reilly <gundaetiapo@gmail.com>
8692 * simple.el (primitive-undo): Only process marker adjustments
8693 validated against their corresponding (TEXT . POS). Issue warning
8694 for lone marker adjustments in undo history. (Bug#16818)
8695 (undo-make-selective-list): Add marker adjustments to selective
8696 undo list based on whether their corresponding (TEXT . POS) is in
8697 the region. Remove variable adjusted-markers, which was unused
8698 and only non nil during undo-make-selective-list.
8699 (undo-elt-in-region): Return nil when passed a marker adjustment
8700 and explain in function doc.
8702 2014-03-26 Nicolas Richard <theonewiththeevillook@yahoo.fr>
8704 * align.el (align-region): Do not fail when end-mark is nil (bug#17088).
8706 2014-03-26 Dmitry Gutov <dgutov@yandex.ru>
8708 * progmodes/ruby-mode.el (ruby-expression-expansion-re):
8709 Match special global variables without curlies, too.
8710 (ruby-font-lock-keywords): Simplify the matcher for special global
8711 variables. Don't require a non-word character after the variable.
8714 2014-03-26 Stefan Monnier <monnier@iro.umontreal.ca>
8716 * simple.el (redisplay-highlight-region-function): Increase priority of
8717 overlay to make sure boundaries are visible (bug#15899).
8719 2014-03-26 Juanma Barranquero <lekktu@gmail.com>
8721 * frameset.el (frameset--initial-params): Fix typo in parameter name.
8722 (frameset-restore): Compare display strings with equal.
8724 * frame.el (make-frame): Don't quote display name in error message,
8725 it is already a string.
8727 2014-03-26 Thierry Volpiatto <thierry.volpiatto@gmail.com>
8729 * net/tramp.el (tramp-read-passwd): Suspend the timers while reading
8732 2014-03-26 Dmitry Gutov <dgutov@yandex.ru>
8734 * emacs-lisp/package.el (package--add-to-archive-contents):
8735 Include already installed and built-in packages in
8736 `package-archive-contents'.
8737 (package-install): Don't include already installed packages in the
8738 options during interactive invocation. (Bug#16762)
8739 (package-show-package-list): If the buffer is already displayed in
8740 another window, switch to that window.
8742 2014-03-26 Reto Zimmermann <reto@gnu.org>
8744 Sync with upstream vhdl mode v3.35.1.
8745 * progmodes/vhdl-mode.el (vhdl-version, vhdl-time-stamp): Update.
8746 (vhdl-compiler-alist): Doc fix.
8747 (vhdl-goto-line): Remove.
8748 (vhdl-mode-abbrev-table-init): Add XEmacs compat.
8749 (vhdl-mode) <paragraph-start>: Fix value.
8750 (vhdl-fix-statement-region): Not `for' in wait-statement.
8751 (vhdl-beautify-region): Also (un)tabify.
8752 (vhdl-get-visible-signals):
8753 Scan declarative part of generate statements.
8754 (vhdl-template-record): Fix indentation for record type declaration.
8755 (vhdl-expand-abbrev, vhdl-expand-paren):
8756 Revert to using fset again rather than defalias.
8757 (vhdl-scan-directory-contents): Tweak.
8758 (vhdl-speedbar-find-file, vhdl-speedbar-port-copy)
8759 (vhdl-compose-components-package):
8760 Replace vhdl-goto-line with forward-line.
8761 (top-level): Tweak speedbar frame selection.
8762 (vhdl-generate-makefile-1): Support for compilers with no
8763 unit-to-file name mapping (create directory with dummy files).
8765 2014-03-26 Wilson Snyder <wsnyder@wsnyder.org>
8767 Sync with upstream verilog-mode revision 702457d.
8768 * progmodes/verilog-mode.el (verilog-mode-version): Update.
8769 (create-lockfiles): Declare.
8770 (verilog-read-decls): Fix module header imports, bug709.
8771 Reported by Victor Lau.
8772 Fix parsing 'var' in AUTOs, msg1294. Reported by Dominique Chen.
8773 (verilog-auto-inout-module): Fix AUTOINOUTMODULE not inserting
8774 interface-only modules, bug721. Reported by Dean Hoyt.
8776 2014-03-26 Glenn Morris <rgm@gnu.org>
8778 * obsolete/gulp.el: Move here from emacs-lisp/.
8780 * files.el (lock-buffer, unlock-buffer, file-locked-p):
8781 Remove fallback aliases, since they are always defined now.
8783 2014-03-24 Daniel Colascione <dancol@dancol.org>
8785 * emacs-lisp/cl-macs.el (cl--do-arglist): Use `plist-member'
8786 instead of cl-loop search function.
8788 2014-03-23 Lars Ingebrigtsen <larsi@gnus.org>
8790 * calendar/parse-time.el (parse-time-iso8601-regexp)
8791 (parse-iso8601-time-string): Copy from `url-dav' so that we can use
8794 2014-03-23 Lars Ingebrigtsen <larsi@gnus.org>
8796 * net/dns.el (network-interface-list): Define for XEmacs.
8798 2014-03-23 Magnus Henoch <magnus.henoch@gmail.com>
8800 * net/dns.el (dns-servers-up-to-date-p): New function to see whether
8801 the network interfaces changed.
8802 (dns-query): Use it to flush the data.
8804 2014-03-23 Juanma Barranquero <lekktu@gmail.com>
8806 * vc/vc.el (vc-rollback): Use set-buffer-modified-p.
8808 2014-03-23 Daniel Colascione <dancol@dancol.org>
8810 Change subword-mode to use `find-word-boundary-function-table' and
8811 replace `capitalized-words-mode'. Also, convert to lexical binding.
8812 * progmodes/cap-words.el: Delete now-obsolete file.
8813 * progmodes/subword.el: Reimplement using
8814 `find-word-boundary-function-table'.
8815 (subword-mode-map): Hollow out.
8816 (capitalized-words-mode): Define as obsolete alias for
8818 (subword-mode, superword-mode): Tweak documentation to reflect new
8819 implementation; call `subword-setup-buffer'.
8820 (subword-forward, subword-capitalize): Add underscore to indicate
8822 (subword-find-word-boundary-function-table): New constant.
8823 (subword-empty-char-table): New constant.
8824 (subword-setup-buffer): New function.
8825 (subword-find-word-boundary): New function.
8827 2014-03-23 Daniel Colascione <dancol@dancol.org>
8829 * emacs-lisp/cl-macs.el (cl--do-arglist): Use a little `cl-loop'
8830 list to look for keyword arguments instead of `memq', fixing
8831 (Bug#3647) --- unfortunately, only for freshly-compiled code.
8832 Please make bootstrap.
8834 2014-03-22 Glenn Morris <rgm@gnu.org>
8836 * dired.el (dired-read-regexp): Make obsolete.
8837 (dired-mark-files-regexp, dired-mark-files-containing-regexp)
8838 (dired-flag-files-regexp):
8839 * dired-aux.el (dired-mark-read-regexp):
8840 * dired-x.el (dired-mark-unmarked-files): Use read-regexp directly.
8842 * startup.el (fancy-startup-text):
8843 * help.el (describe-gnu-project): Visit online info about GNU project.
8845 * help-fns.el (help-fns--interactive-only): New function.
8846 (help-fns-describe-function-functions): Add the above function.
8847 * simple.el (beginning-of-buffer, end-of-buffer, insert-buffer)
8848 (next-line, previous-line): Remove hand-written interactive-only
8849 information from doc strings, it is auto-generated now.
8850 * bookmark.el (bookmark-write):
8851 * epa-mail.el (epa-mail-decrypt, epa-mail-verify, epa-mail-sign)
8852 (epa-mail-import-keys): Mark interactive-only,
8853 and remove hand-written interactive-only information from doc strings.
8854 * epa.el (epa-decrypt-armor-in-region, epa-verify-region)
8855 (epa-verify-cleartext-in-region, epa-sign-region, epa-encrypt-region):
8856 * files.el (not-modified):
8857 * simple.el (mark-whole-buffer): Mark interactive-only.
8859 * emacs-lisp/byte-run.el (defun-declarations-alist):
8860 Add interactive-only. Doc tweak.
8861 (macro-declarations-alist): Doc tweak.
8862 * subr.el (declare): Doc tweak (add xref to manual).
8863 * comint.el (comint-run):
8864 * files.el (insert-file-literally, insert-file):
8865 * replace.el (replace-string, replace-regexp):
8866 * simple.el (beginning-of-buffer, end-of-buffer, delete-backward-char)
8867 (delete-forward-char, goto-line, insert-buffer, next-line)
8868 (previous-line): Set interactive-only via declare.
8870 2014-03-22 Dmitry Gutov <dgutov@yandex.ru>
8872 * emacs-lisp/package.el (package-desc): Use the contents of the
8873 quoted form, not its cdr. (Bug#16873)
8875 2014-03-22 Juanma Barranquero <lekktu@gmail.com>
8877 * w32-common-fns.el (x-selection-owner-p): Add empty docstring for the
8878 benefit of doc.c; change parameter profile to match the X function.
8880 2014-03-22 Leo Liu <sdl.web@gmail.com>
8882 * help.el (temp-buffer-setup-hook): Remove help-mode-setup.
8883 (temp-buffer-show-hook): Remove help-mode-finish. (Bug#16038)
8885 2014-03-21 Richard Stallman <rms@gnu.org>
8887 * battery.el (battery-linux-sysfs): Search for each field
8888 from the beginning of the buffer.
8890 * subr.el (set-transient-map): Clear out function and value
8891 of the temporary symbol when we're done with it.
8893 * mail/rmailsum.el (rmail-summary-delete-forward):
8894 Optimize case of reaching end and handling count.
8895 (rmail-summary-mark-deleted): Optimize when N is current msg.
8896 Don't create new summary line.
8897 (rmail-summary-undelete): Pass arg to rmail-undelete-previous-message.
8898 (rmail-summary-undelete-many): Rewrite for speed.
8899 (rmail-summary-msg-number): New function.
8901 * mail/rmail.el (rmail-delete-message): Update summary.
8902 (rmail-undelete-previous-message): Handle repeat count arg.
8903 (rmail-delete-backward, rmail-delete-forward): Likewise.
8905 2014-03-21 Daniel Colascione <dancol@dancol.org>
8907 * mail/emacsbug.el (report-emacs-bug): Include memory usage
8908 information in bug reports.
8910 2014-03-21 Michael Albinus <michael.albinus@gmx.de>
8912 * net/tramp.el (tramp-methods): Add docstring for `tramp-login-env'
8913 and `tramp-copy-env'.
8915 * net/tramp-sh.el (tramp-methods) <sudo>: Add `tramp-login-env'.
8916 (tramp-maybe-open-connection): Handle `tramp-login-env'.
8918 2014-03-21 Glenn Morris <rgm@gnu.org>
8920 * electric.el (electric-indent-post-self-insert-function): Add doc.
8922 2014-03-21 Dmitry Gutov <dgutov@yandex.ru>
8924 * emacs-lisp/package.el (package-compute-transaction):
8925 Use `version-list-<=' to compare the requirement version against
8926 the version of package already to be installed. Update the error
8927 message. (Bug#16826)
8929 * progmodes/ruby-mode.el (ruby-smie-rules):
8930 Add indentation rule for ` @ '. (Bug#17050)
8932 2014-03-21 Juanma Barranquero <lekktu@gmail.com>
8934 * align.el (align-regexp): Remove superfluous backslash.
8936 * ffap.el (ffap-ftp-default-user, ffap-url-regexp)
8937 (ffap-pass-wildcards-to-dired, dired-at-point-require-prefix)
8938 (ffap-rfc-path, ffap-ftp-sans-slash-regexp, ffap-menu-regexp):
8939 Fix docstring typos.
8940 (ffap-next): Use C-u in docstring.
8941 (ffap-machine-p, ffap-list-env, ffap-alist, ffap-alist)
8942 (ffap-string-at-point-mode-alist, ffap-menu, ffap-menu-ask):
8943 Remove superfluous backslashes.
8944 (ffap-string-at-point): Reflow docstring.
8946 * server.el (server-host): Reflow docstring.
8947 (server-unload-function): Fix docstring typo.
8948 (server-eval-at): Remove superfluous backslash.
8950 * skeleton.el (skeleton-insert): Remove superfluous backslash.
8951 (skeleton-insert): Doc fix.
8952 (skeleton-insert): Reflow docstring.
8954 * term/tty-colors.el (tty-color-alist, tty-modify-color-alist)
8955 (tty-color-approximate, tty-color-by-index, tty-color-values)
8956 (tty-color-desc): Remove superfluous backslashes.
8958 2014-03-21 Glenn Morris <rgm@gnu.org>
8960 * cus-start.el (history-length): Bump :version.
8962 * Makefile.in ($(MH_E_DIR)/mh-loaddefs.el)
8963 ($(TRAMP_DIR)/tramp-loaddefs.el, $(CAL_DIR)/cal-loaddefs.el)
8964 ($(CAL_DIR)/diary-loaddefs.el, $(CAL_DIR)/hol-loaddefs.el):
8965 Don't set `make-backup-files'.
8967 * info.el (info--prettify-description): New function,
8968 to give info-finder descriptions consistent case, punctuation.
8969 (Info-finder-find-node): Use it. Sort packages.
8970 Refer to "description" rather than "commentary".
8972 2014-03-21 Juanma Barranquero <lekktu@gmail.com>
8974 * frameset.el (frameset--print-register): New function.
8975 (frameset-to-register): Use it.
8977 2014-03-20 Juanma Barranquero <lekktu@gmail.com>
8979 * progmodes/hideif.el (hif-string-to-number): New function.
8980 (hif-tokenize): Use it to understand non-decimal floats.
8982 * emacs-lisp/cl-extra.el (cl--map-overlays): Remove obsolete code.
8984 * skeleton.el (skeleton-autowrap): Mark as obsolete. Doc fix.
8986 2014-03-20 Stefan Monnier <monnier@iro.umontreal.ca>
8988 * electric.el (electric-newline-and-maybe-indent): New command.
8989 Bind it globally to C-j.
8990 (electric-indent-mode): Don't mess with the global map any more.
8991 Don't drop the post-self-insert-hook is some buffer is still using it
8994 * bindings.el (global-map): Remove C-j binding.
8996 * emacs-lisp/nadvice.el (advice--make-docstring): Try harder to find
8997 the docstring of functions advised before dumping (bug#16993).
8999 2014-03-19 Stefan-W. Hahn <stefan.hahn@s-hahn.de> (tiny change)
9001 * ps-print.el (ps-generate-postscript-with-faces):
9002 Explicitly deactivate the mark (bug#16866).
9003 * simple.el (deactivate-mark): Update region highlight.
9005 2014-03-19 Juanma Barranquero <lekktu@gmail.com>
9007 * emacs-lisp/package.el (describe-package-1):
9008 Decode commentary (bug#16733).
9010 2014-03-18 Juanma Barranquero <lekktu@gmail.com>
9012 * custom.el (defcustom): Doc fix: recommend avoiding destructive
9013 modification of the value argument of :set (bug#16755).
9015 2014-03-18 Stefan Monnier <monnier@iro.umontreal.ca>
9017 * simple.el (newline-and-indent): Do autofill (bug#17031).
9019 2014-03-18 Dmitry Gutov <dgutov@yandex.ru>
9021 * newcomment.el (comment-normalize-vars): Only add escaping check
9022 to `comment-start-skip' if not `comment-use-syntax'. (Bug#16971)
9023 (comment-beginning): Use `narrow-to-region' instead of moving back
9025 (http://lists.gnu.org/archive/html/emacs-devel/2014-03/msg00488.html)
9026 (comment-start-skip): Update the docstring.
9028 2014-03-18 Richard Stallman <rms@gnu.org>
9030 * dired.el (dired-display-file): Force use of other window.
9032 2014-03-18 Daniel Colascione <dancol@dancol.org>
9034 * startup.el (tty-handle-args): Remove debug message from 2007.
9036 2014-03-17 Stefan Monnier <monnier@iro.umontreal.ca>
9038 * emacs-lisp/nadvice.el (advice--interactive-form): New function.
9039 (advice--make-interactive-form): Use it to avoid (auto)loading function.
9040 (advice--make-1, advice-add, advice-remove):
9041 Remove braindead :advice-pending hack.
9043 2014-03-17 Glenn Morris <rgm@gnu.org>
9045 * calendar/calendar.el (calendar-generate-month): Apply weekend
9046 face to the right days; fixes 2013-08-06 change. (Bug#17028)
9048 2014-03-17 Michael Albinus <michael.albinus@gmx.de>
9050 * net/tramp.el (tramp-action-out-of-band): Read pending output.
9051 (tramp-call-process): Trace also DESTINATION.
9053 * net/tramp-sh.el (tramp-do-copy-or-rename-file-out-of-band):
9054 Quote file names when they are local. Remove superfluous trace.
9056 2014-03-17 Dmitry Gutov <dgutov@yandex.ru>
9058 * newcomment.el (comment-beginning): If `comment-start-skip'
9059 doesn't match, move back one char and try again. (Bug#16971)
9061 * emacs-lisp/lisp-mode.el (lisp-mode-variables):
9062 Set `comment-use-syntax' to t to avoid the unnecessary runtime check.
9063 Set `comment-start-skip' to a simpler value that doesn't try to
9064 check if the semicolon is escaped (this is handled by
9065 `syntax-ppss' now). (Bug#16971)
9067 * progmodes/scheme.el (scheme-mode-variables): Same.
9069 2014-03-16 Martin Rudalics <rudalics@gmx.at>
9071 Fix behavior of with-temp-buffer-window (Bug#16816, Bug#17007).
9072 * window.el (with-temp-buffer-window): Don't make BUFFER-OR-NAME
9073 current (Bug#16816, Bug#17007).
9074 (with-current-buffer-window): New macro doing the same as
9075 `with-temp-buffer-window' but with BUFFER-OR-NAME current.
9076 * help.el (help-print-return-message): Warn in doc-string to not
9077 use this in `with-help-window'.
9078 (describe-bindings-internal): Call `describe-buffer-bindings'
9079 from within help buffer. See Juanma's scenario in (Bug#16816).
9080 (with-help-window): Update doc-string.
9081 * dired.el (dired-mark-pop-up):
9082 * files.el (save-buffers-kill-emacs):
9083 * register.el (register-preview): Use `with-current-buffer-window'
9084 instead of `with-temp-buffer-window'.
9086 2014-03-16 Juanma Barranquero <lekktu@gmail.com>
9088 * textmodes/rst.el (rst-arabic-to-roman, rst-roman-to-arabic):
9089 Implement inserting into current buffer, documented in their docstrings.
9090 (rst-define-key, rst-compare-adornments, rst-insert-list-new-item)
9091 (rst-section-tree-point, rst-forward-section, rst-indent)
9092 (rst-compute-tabs, rst-font-lock-find-unindented-line-end)
9093 (rst-font-lock-find-unindented-line-limit, rst-adornment-level)
9094 (rst-font-lock-handle-adornment-pre-match-form)
9095 (rst-repeat-last-character): Reflow docstrings.
9096 (rst-preferred-adornments, rst-update-section, rst-find-title-line)
9097 (rst-adjust-adornment-work, rst-initial-items, rst-insert-list)
9098 (rst-toc-insert-style, rst-toc-insert-node, rst-goto-section)
9099 (rst-compile, rst-imenu-convert-cell, rst-imenu-create-index):
9100 Fix docstring typos.
9101 (rst-all-sections, rst-section-hierarchy, rst-adjust): Doc fixes.
9102 (rst-uncomment-region, rst-font-lock-find-unindented-line-match)
9103 (rst-font-lock-handle-adornment-matcher): Mark unused arguments.
9105 2014-03-15 Juanma Barranquero <lekktu@gmail.com>
9107 * term/ns-win.el (x-command-line-resources): Rename from ns-... version,
9108 for compatibility with other ports.
9109 (ns-initialize-window-system): Use it. It is set in term/common-win.el
9110 from the -xrm command line argument, but in the Nextstep port its value
9111 is irrelevant because nsfns.m:Fx_open_connection ignores it for now.
9113 * progmodes/python.el (defconst, python-syntax-count-quotes)
9114 (python-indent-region, python-indent-shift-right)
9115 (python-indent-dedent-line-backspace, python-nav-backward-sexp)
9116 (python-nav-backward-sexp-safe, python-nav-backward-up-list)
9117 (python-shell-prompt-block-regexp, python-shell-prompt-output-regexp)
9118 (python-shell-prompt-pdb-regexp, python-shell-enable-font-lock)
9119 (inferior-python-mode, python-shell-make-comint, run-python-internal)
9120 (python-shell-buffer-substring, python-shell-send-buffer)
9121 (python-pdbtrack-activate, python-pdbtrack-stacktrace-info-regexp)
9122 (python-completion-complete-at-point, python-fill-docstring-style)
9123 (python-eldoc-function, python-imenu-format-item-label)
9124 (python-imenu-format-parent-item-label)
9125 (python-imenu-format-parent-item-jump-label)
9126 (python-imenu--build-tree, python-imenu-create-index)
9127 (python-imenu-create-flat-index): Fix docstring typos.
9128 (python-indent-context, python-shell-prompt-regexp, run-python):
9129 Remove superfluous backslashes.
9130 (python-indent-line, python-nav-beginning-of-defun)
9131 (python-shell-get-buffer, python-shell-get-process)
9132 (python-info-current-defun, python-info-current-line-comment-p)
9133 (python-info-current-line-empty-p, python-util-popn): Doc fixes.
9134 (python-indent-post-self-insert-function, python-shell-send-file)
9135 (python-shell-completion-get-completions)
9136 (python-shell-completion-complete-or-indent)
9137 (python-eldoc--get-doc-at-point): Reflow docstrings.
9139 2014-03-14 Glenn Morris <rgm@gnu.org>
9141 * emacs-lisp/package.el (package-menu-mode-map):
9142 Replace use of obsolete function alias. Tweak menu item text.
9144 * info.el (Info-finder-find-node):
9145 Ignore the `emacs' metapackage. (Bug#10813)
9147 * finder.el (finder-list-matches): Include unversioned packages
9148 in the result of a keyword search.
9150 * finder.el (finder--builtins-descriptions): New constant.
9151 (finder-compile-keywords): Use finder--builtins-descriptions.
9153 2014-03-14 Dmitry Gutov <dgutov@yandex.ru>
9155 * simple.el (blink-matching-paren): Describe the new value,
9156 `jump', enabling the old behavior.
9157 (blink-matching-open): Use that value. (Bug#17008)
9159 2014-03-14 Glenn Morris <rgm@gnu.org>
9161 * finder.el (finder-no-scan-regexp): Add leim-list.
9162 (finder-compile-keywords):
9163 Don't skip files with same basename. (Bug#14010)
9164 * Makefile.in (setwins_finder): New, excluding leim.
9165 (finder-data): Use setwins_finder.
9167 * help-fns.el (help-split-fundoc, help-add-fundoc-usage)
9168 (help-function-arglist, help-make-usage): Move from here...
9169 * help.el (help-split-fundoc, help-add-fundoc-usage)
9170 (help-function-arglist, help-make-usage): ... to here. (Bug#17001)
9171 * emacs-lisp/bytecomp.el (byte-compile-lambda): Do not load help-fns.
9173 2014-03-14 Juanma Barranquero <lekktu@gmail.com>
9175 * net/socks.el (socks, socks-override-functions)
9176 (socks-find-services-entry):
9177 * progmodes/hideif.el (hif-set-var, hif-nexttoken, hif-comma)
9178 (hif-find-ifdef-block):
9179 * progmodes/modula2.el (m2-indent): Fix docstring typos.
9181 * net/tls.el (tls-program): Reflow docstring.
9183 * progmodes/pascal.el (pascal-mode-abbrev-table)
9184 (pascal-imenu-generic-expression, pascal-auto-endcomments)
9185 (pascal-mark-defun, pascal-comment-area, pascal-indent-level)
9186 (pascal-outline-mode): Fix docstring typos.
9187 (pascal-mode): Let define-derived-mode document mode hook.
9188 (pascal-uncomment-area): Reflow.
9189 (pascal-exclude-str-start, pascal-exclude-str-end): Add docstring.
9191 * progmodes/opascal.el (opascal-compound-block-indent)
9192 (opascal-case-label-indent): Fix docstring typos.
9193 (opascal-mode): Fix typos; let defined-derived-mode document mode hook.
9195 2014-03-13 Dmitry Gutov <dgutov@yandex.ru>
9197 * progmodes/ruby-mode.el (ruby-font-lock-keywords):
9198 Fontify multiple adjacent negation chars. (Bug#17004)
9200 2014-03-13 Tom Willemse <tom@ryuslash.org> (tiny change)
9202 * emacs-lisp/package.el (package--prepare-dependencies):
9203 Accept requirements without explicit version (bug#14941).
9205 2014-03-12 Juanma Barranquero <lekktu@gmail.com>
9207 * register.el (register-separator, copy-to-register): Doc fixes.
9208 (register-preview-default): Remove unnecessary call to concat.
9210 * frameset.el (frameset-restore): When checking for a visible frame,
9211 use the action map instead of calling visible-frame-list.
9213 2014-03-12 Jonas Bernoulli <jonas@bernoul.li>
9215 * emacs-lisp/eieio.el (with-slots): Use cl-symbol-macrolet (bug#16998).
9217 2014-03-12 Martin Rudalics <rudalics@gmx.at>
9219 * window.el (fit-frame-to-buffer): Get maximum width from
9220 display's width instead of height.
9222 2014-03-12 Glenn Morris <rgm@gnu.org>
9224 * desktop.el (desktop-restore-frames)
9225 (desktop-restore-in-current-display, desktop-restore-forces-onscreen)
9226 (desktop-restore-reuses-frames): Doc tweaks.
9228 * electric.el (electric-indent-mode): Doc fix.
9230 2014-03-12 Juanma Barranquero <lekktu@gmail.com>
9232 * vc/pcvs.el (cvs-temp-buffer, defun-cvs-mode, cvs-get-cvsroot)
9233 (cvs-checkout, cvs-mode-checkout, cvs-update-filter, cvs-mode-mark)
9234 (cvs-mode-diff-head, cvs-mode-diff-repository, cvs-mode-diff-yesterday)
9235 (cvs-mode-diff-vendor, cvs-mode-do, cvs-change-cvsroot)
9236 (cvs-dired-use-hook): Fix docstring typos.
9237 (cvs-mode-view-file-other-window, cvs-mode-byte-compile-files):
9240 * vc/pcvs-defs.el (cvs-auto-remove-handled)
9241 (cvs-auto-remove-directories, cvs-default-ignore-marks)
9242 (cvs-idiff-imerge-handlers, cvs-reuse-cvs-buffer)
9243 (cvs-execute-single-dir): Fix docstring typos.
9245 * vc/pcvs-info.el (cvs-status-map, cvs-states): Fix docstring typos.
9246 (cvs-fileinfo-pp, cvs-fileinfo-from-entries): Doc fixes.
9248 * vc/pcvs-parse.el (cvs-parsed-fileinfo): Reflow docstring.
9250 * vc/pcvs-util.el (cvs-flags-query, cvs-flags-set, cvs-prefix-set):
9251 Fix docstring typos.
9253 2014-03-12 Juanma Barranquero <lekktu@gmail.com>
9255 * frameset.el (frameset--jump-to-register): Add autoload; it could be
9256 called from jump-to-register after unloading the frameset package.
9258 2014-03-11 Stefan Monnier <monnier@iro.umontreal.ca>
9260 * simple.el (set-mark): Ensure mark-active is nil if the mark is nil
9261 (bug#16975). Deactivate the mark before setting it to nil.
9262 (activate-mark): Do nothing if region is already active.
9264 2014-03-11 Juanma Barranquero <lekktu@gmail.com>
9266 * frameset.el (frameset--target-display): Remove definition; declare.
9267 (frameset-save, frameset-restore): Let-bind frameset--target-display.
9269 2014-03-11 Stefan Monnier <monnier@iro.umontreal.ca>
9271 * emacs-lisp/nadvice.el (advice--make-1): Fix autoloading avoidance.
9272 (advice-add): Add a :advice--pending marker, so advice--make-1 knows
9273 when the advice is pending.
9274 (advice-remove): Remove this marker when not needed any more.
9276 2014-03-11 Juanma Barranquero <lekktu@gmail.com>
9278 * frameset.el: Separate options for reusing frames and cleaning up.
9279 (frameset--reuse-list): Remove definition; declare.
9280 (frameset--action-map): Declare.
9281 (frameset--find-frame-if): Doc fix.
9282 (frameset--restore-frame): Cache frame action.
9283 (frameset-restore): New keyword arg CLEANUP-FRAMES, allows to select
9284 how to clean up the frame list after restoring. Remove cleaning
9285 options from REUSE-FRAMES. Change all keyword values to symbols.
9286 (frameset--jump-to-register): Simplify by using CLEANUP-FRAMES.
9288 * desktop.el (desktop-restore-forces-onscreen)
9289 (desktop-restore-reuses-frames): Use non-keyword values.
9290 (desktop-restore-frameset): Use CLEANUP-FRAMES arg of frameset-restore.
9292 2014-03-10 Glenn Morris <rgm@gnu.org>
9294 * files.el (find-file): Doc fix: update info node name.
9296 * emacs-lisp/advice.el (ad-add-advice, defadvice):
9297 Doc fix: remove references to deleted info nodes.
9299 2014-03-10 Michael Albinus <michael.albinus@gmx.de>
9301 * net/tramp-sh.el (tramp-open-connection-setup-interactive-shell):
9302 Do not add nil to the environment, when there's no remote `locale'.
9303 (tramp-find-inline-encoding): Check, that the remote host has
9304 installed perl, before sending scripts.
9306 2014-03-10 Leo Liu <sdl.web@gmail.com>
9308 * emacs-lisp/eldoc.el (eldoc-minibuffer-message):
9309 Clear eldoc-last-message. (Bug#16920)
9311 2014-03-10 Stefan Monnier <monnier@iro.umontreal.ca>
9313 * desktop.el (desktop-create-buffer): Don't run activate-mark-hook
9316 2014-03-09 Juri Linkov <juri@jurta.org>
9318 * ansi-color.el (ansi-color-names-vector): Copy default colors
9319 from `xterm-standard-colors' that look well on the default white
9320 background (and also on the black background) to avoid illegible
9321 color combinations like yellow-on-white and white-on-white.
9322 http://lists.gnu.org/archive/html/emacs-devel/2014-02/msg00157.html
9324 2014-03-08 Juanma Barranquero <lekktu@gmail.com>
9326 * frameset.el (frameset-restore): When no frame is visible, do not
9327 generate a list of frames, just make visible the selected one.
9329 2014-03-08 Dmitry Gutov <dgutov@yandex.ru>
9331 * vc/vc-git.el (vc-git-command): Turn FILE-OR-LIST into nil when
9332 it only contains the repository root. (Bug#16897)
9334 2014-03-07 Michael Albinus <michael.albinus@gmx.de>
9336 * net/tramp-sh.el (tramp-sh-handle-vc-registered): Run first pass
9337 only when `remote-file-name-inhibit-cache' is nil.
9338 (tramp-sh-file-name-handler): Use `tramp-error'. Simplify code.
9340 2014-03-06 Martin Rudalics <rudalics@gmx.at>
9342 * window.el (fit-frame-to-buffer, fit-frame-to-buffer-margins):
9344 (fit-frame-to-buffer): New argument ONLY. Remove dependency on
9345 fit-frame-to-buffer variable. Fix doc-string.
9346 (fit-window-to-buffer): Set ONLY argument in call of
9347 fit-frame-to-buffer. Fix doc-string.
9349 2014-03-06 Michael Albinus <michael.albinus@gmx.de>
9351 * net/tramp.el (tramp-error): VEC-OR-PROC can be nil.
9352 (tramp-action-password): Clear password cache if needed.
9353 (tramp-read-passwd): Do not clear password cache.
9355 * net/tramp-gvfs.el (tramp-gvfs-handler-askpassword): Clear password
9356 cache unless it is the first password request.
9358 2014-03-06 Glenn Morris <rgm@gnu.org>
9360 * simple.el (newline): Doc tweak.
9362 * emacs-lisp/shadow.el (load-path-shadows-find):
9363 Ignore dir-locals. (Bug#12357)
9365 2014-03-05 Glenn Morris <rgm@gnu.org>
9367 * files.el (interpreter-mode-alist):
9368 * progmodes/sh-script.el (sh-ancestor-alist): Add dash. (Bug#16938)
9370 2014-03-05 Juanma Barranquero <lekktu@gmail.com>
9372 * frameset.el (frameset--initial-params): Filter out null entries.
9374 2014-03-05 Martin Rudalics <rudalics@gmx.at>
9376 * window.el (window-min-height, window-min-width):
9377 Rewrite doc-strings.
9378 (window-body-size): Add PIXELWISE argument to make it consistent
9381 2014-03-05 Juanma Barranquero <lekktu@gmail.com>
9383 * finder.el (finder-mode-map, finder-mode-syntax-table):
9384 Revert part of 2014-02-28 change.
9386 2014-03-05 Lars Ingebrigtsen <larsi@gnus.org>
9388 * net/eww.el (eww-mode-map): [tab] doesn't work on tty.
9389 (eww-setup-buffer): Clear next/prev/etc more reliably.
9390 (eww-textarea-map): [tab] doesn't work on tty.
9391 Reported by Mario Lang.
9393 * net/shr.el (shr-map): Ditto.
9395 2014-03-04 Glenn Morris <rgm@gnu.org>
9397 * minibuffer.el (completion-hilit-commonality):
9398 Revert 2014-03-01 short-cut, which changed the return value. (Bug#16933)
9400 2014-03-04 Juanma Barranquero <lekktu@gmail.com>
9402 * hilit-chg.el (hilit-chg-unload-function): New function.
9403 (highlight-changes-mode, highlight-changes-visible-mode): Fix typos.
9404 (hilit-chg-map-changes): Prefer cardinal number to digit.
9405 (hilit-chg-display-changes): Reflow docstring.
9406 (highlight-changes-rotate-faces): Remove superfluous backslash.
9408 2014-03-04 Michael Albinus <michael.albinus@gmx.de>
9410 * net/tramp-sh.el (tramp-sh-handle-vc-registered): Do not call
9411 `tramp-send-command-and-check'.
9413 2014-03-04 Juanma Barranquero <lekktu@gmail.com>
9415 * hexl.el (hexl-address-region, hexl-ascii-region)
9416 (hexl-goto-hex-address, hexl-backward-char, hexl-forward-char)
9417 (hexl-backward-short, hexl-forward-short, hexl-backward-word)
9418 (hexl-forward-word, hexl-previous-line, hexl-next-line):
9419 Use "Hexl mode" for non-hyperlinked hexl-mode references in docstrings.
9420 (hexl-mode): Doc fix.
9421 (hexl-ascii-start-column, hexl-beginning-of-line, hexl-end-of-line)
9422 (hexl-mode-ruler): Fix typos in docstrings.
9424 * strokes.el (strokes-xpm-header, strokes-rate-stroke): Fix typos.
9425 (strokes-character, strokes-get-grid-position, strokes-list-strokes):
9426 Remove superfluous backslashes.
9427 (strokes-last-stroke, strokes-global-map, strokes-mode):
9429 (strokes-xpm-for-stroke, strokes-xpm-to-compressed-string)
9430 (strokes-xpm-for-compressed-string): Use quotes with buffer name.
9431 (strokes-distance-squared, strokes-global-set-stroke)
9432 (strokes-global-set-stroke-string): Doc fixes.
9433 (strokes-help): Fix typos; reflow docstring.
9435 2014-03-04 Martin Rudalics <rudalics@gmx.at>
9437 * window.el (window-in-direction): Fix doc-string.
9439 2014-03-04 Glenn Morris <rgm@gnu.org>
9441 * emacs-lisp/smie.el (smie-config-guess): Doc fix.
9442 Explicit error if no grammar.
9443 (smie-config-save): Doc fix. Fix quote typo.
9445 2014-03-04 Stefan Monnier <monnier@iro.umontreal.ca>
9447 * progmodes/cc-mode.el (c-initialize-cc-mode): Only hook into
9448 electric-indent-mode-hook if we obey electric-indent-mode.
9449 (c-basic-common-init): Use (fboundp 'electric-indent-local-mode) to
9450 decide whether we obey electric-indent-mode.
9451 (c-change-set-fl-decl-start, c-extend-after-change-region):
9453 (c-electric-indent-mode-hook): Assume we do want to obey
9454 electric-indent-mode.
9456 * electric.el (electric-indent-mode-has-been-called): Remove.
9457 (electric-indent-mode): Fix accordingly.
9459 * files.el (hack-local-variables): Mention file name in warning.
9461 * htmlfontify.el (hfy-fontify-buffer): Drop `invis-range' message.
9463 2014-03-04 Michal Nazarewicz <mina86@mina86.com>
9465 * bindings.el: Add comment describing why C-d binds to `delete-char'.
9466 * simple.el (delete-forward-char): Mark as interactive-only.
9468 2014-03-03 Juanma Barranquero <lekktu@gmail.com>
9470 * icomplete.el (icomplete-completions):
9471 Follow-up to 2014-03-01 change.
9473 * icomplete.el: Miscellaneous doc fixes.
9474 Use Icomplete everywhere instead of icomplete for consistency.
9475 (icomplete-max-delay-chars): Fix typo.
9476 (icomplete-mode): Use \[].
9477 (icomplete-tidy, icomplete-exhibit): Reflow.
9478 (icomplete-minibuffer-setup-hook, icomplete-completions):
9479 Remove superfluous backlashes.
9481 * ido.el: Miscellaneous doc fixes.
9482 Use Ido everywhere instead of ido or `ido' for consistency.
9483 (ido-record-ftp-work-directories, ido-merge-ftp-work-directories)
9484 (ido-cache-ftp-work-directory-time, ido-slow-ftp-hosts)
9485 (ido-slow-ftp-host-regexps, ido-reread-directory): Upcase "ftp".
9486 (ido-separator): Extract obsolescence info from docstring and declare
9487 with make-obsolete-variable.
9488 (ido-minibuffer-setup-hook): Simplify example.
9489 (ido-text, ido-text-init, ido-input-stack, ido-report-no-match)
9490 (ido-wide-find-file, ido-wide-find-dir, ido-wide-find-dir-or-delete-dir)
9491 (ido-completion-help, ido-completing-read): Fix typos in docstrings.
9492 (ido-everywhere): Reflow docstring.
9493 (ido-toggle-vc): Doc fix.
9494 (ido-switch-buffer, ido-find-file): Use tabs to improve legibility
9495 of long list of keybindings.
9497 2014-03-03 Glenn Morris <rgm@gnu.org>
9499 * frame.el (display-pixel-height, display-pixel-width)
9500 (display-mm-dimensions-alist, display-mm-height)
9501 (display-mm-width): Doc tweaks.
9503 2014-03-02 Barry O'Reilly <gundaetiapo@gmail.com>
9505 * simple.el (undo-elt-in-region): Fix buffer corruption for edge
9506 case of undo in region.
9508 2014-03-02 Martin Rudalics <rudalics@gmx.at>
9510 * window.el (fit-window-to-buffer): Fix argument in window-size
9511 call when window is horizontally combined.
9513 2014-03-02 Juanma Barranquero <lekktu@gmail.com>
9515 * icomplete.el (icomplete-completions): Use string-width.
9516 Suggested by Stefan Monnier <monnier@iro.umontreal.ca>.
9518 2014-03-01 Dmitry Gutov <dgutov@yandex.ru>
9520 * progmodes/ruby-mode.el (ruby-font-lock-keywords):
9521 Highlight regexp options. (Bug#16914)
9523 2014-03-01 Martin Rudalics <rudalics@gmx.at>
9525 * window.el (window--max-delta-1): Round down when calculating
9526 how many lines/columns we can get from a window.
9528 2014-03-01 Glenn Morris <rgm@gnu.org>
9530 * isearch.el (search-invisible): Doc fix.
9532 * minibuffer.el (completion-hilit-commonality):
9533 Make `base-size' argument optional. Short-cut if `prefix-len' is 0.
9534 * comint.el (comint-dynamic-list-completions): Doc fix.
9535 * comint.el (comint-dynamic-list-completions):
9536 * filecache.el (file-cache-minibuffer-complete):
9537 * tempo.el (tempo-display-completions):
9538 * eshell/em-hist.el (eshell-list-history):
9539 Replace use of obsolete argument of display-completion-list.
9541 2014-03-01 Juanma Barranquero <lekktu@gmail.com>
9543 * icomplete.el (icomplete-completions):
9544 Revert back to using "..." when ?… cannot be displayed.
9546 2014-02-28 Juanma Barranquero <lekktu@gmail.com>
9548 * finder.el (finder-unload-function): New function.
9550 2014-02-28 Juanma Barranquero <lekktu@gmail.com>
9552 * dframe.el (dframe-detach):
9553 * find-dired.el (find-dired, find-name-dired):
9554 * finder.el (finder-mode-map, finder-mode-syntax-table)
9555 (finder-headmark, finder-select, finder-mouse-select):
9556 Fix docstring typos.
9558 2014-02-28 Martin Rudalics <rudalics@gmx.at>
9560 Revert recent with-temp-buffer-window change (Bug#16816, Bug#16882).
9561 * window.el (with-temp-buffer-window): Revert change from 2014-02-21.
9562 Suggested by Thierry Volpiatto <thierry.volpiatto@gmail.com>.
9563 Fix doc-string based on a suggestion by Nicolas Richard
9564 <theonewiththeevillook@yahoo.fr>.
9565 * help.el (with-help-window): Fix doc-string.
9567 2014-02-28 Ivan Kanis <ivan@kanis.fr>
9569 * net/shr.el (shr-image-animate): New option.
9570 (shr-put-image): Respect shr-image-animate.
9572 2014-02-28 Michael Albinus <michael.albinus@gmx.de>
9574 * net/tramp-adb.el (tramp-adb-parse-device-names):
9575 Use `accept-process-output'.
9576 (tramp-adb-handle-file-truename): Cache the localname only.
9577 (tramp-adb-handle-make-directory)
9578 (tramp-adb-handle-delete-directory): Flush file properties correctly.
9579 (tramp-adb-handle-set-file-modes): Do not raise an error when file
9580 modes cannot be changed.
9582 * net/tramp-cache.el (tramp-flush-directory-property): Remove also
9583 file properties of symlinks.
9585 2014-02-28 Per Starbäck <starback@stp.lingfil.uu.se>
9587 * textmodes/bibtex.el (bibtex-biblatex-entry-alist): Update
9588 required/optional fields to match development biblatex. (Bug#16781)
9590 2014-02-28 Andy Sawyer <andy.sawyer@gmail.com> (tiny change)
9592 * saveplace.el (toggle-save-place):
9593 Fix argument handling. (Bug#16673)
9595 2014-02-28 Glenn Morris <rgm@gnu.org>
9597 * minibuffer.el (completions-first-difference)
9598 (completions-common-part, completion-hilit-commonality): Doc fixes.
9600 2014-02-28 Karl Berry <karl@gnu.org>
9602 * info.el (Info-mode-map): Add H for describe-mode,
9603 to synchronize with standalone Info.
9605 2014-02-28 Emilio C. Lopes <eclig@gmx.net>
9607 * progmodes/sql.el (sql-interactive-mode):
9608 Avoid setting global comint-input-ring-separator. (Bug#16814)
9610 2014-02-27 Michael Albinus <michael.albinus@gmx.de>
9612 * net/dbus.el (dbus--init-bus): Declare function.
9613 (dbus-path-local, dbus-interface-local): New defconst.
9614 (dbus-init-bus): Use them.
9615 (dbus-return-values-table): Extend doc.
9616 (dbus-handle-bus-disconnect): Extend error message.
9618 2014-02-27 Juanma Barranquero <lekktu@gmail.com>
9620 * subr.el (y-or-n-p): Fix double space issue in message.
9622 2014-02-27 Michael Albinus <michael.albinus@gmx.de>
9624 * net/tramp.el (tramp-call-process): Improve trace message.
9625 (tramp-handle-insert-file-contents): Trace error case.
9627 * net/tramp-adb.el (tramp-adb-file-name-handler-alist)
9628 <insert-directory>: Use `tramp-handle-insert-directory'.
9629 (tramp-adb-handle-insert-directory): Remove function.
9630 (tramp-adb-send-command-and-check): New defun, replacing
9631 `tramp-adb-command-exit-status'. Change all callees.
9632 (tramp-adb-handle-file-attributes)
9633 (tramp-adb-handle-directory-files-and-attributes): Use it.
9634 (tramp-adb-ls-output-name-less-p):
9635 Use `directory-listing-before-filename-regexp'.
9636 (tramp-adb-handle-delete-directory): Flush also file properties of
9637 the truename of directory.
9638 (tramp-adb-handle-file-name-all-completions): Add "./" and "../".
9639 (tramp-adb-handle-file-local-copy): Make the local copy readable.
9640 (tramp-adb-handle-write-region): Implement APPEND.
9641 (tramp-adb-handle-rename-file): Make it more robust. Flush file
9642 properties correctly.
9643 (tramp-adb-maybe-open-connection): Set `tramp-current-*'
9644 variables. Check for connected devices only when needed.
9646 2014-02-27 Glenn Morris <rgm@gnu.org>
9648 * minibuffer.el (completion-table-dynamic)
9649 (completion-table-with-cache): Doc fixes.
9651 * emacs-lisp/crm.el (crm-default-separator, crm-separator)
9652 (completing-read-multiple): Doc fixes.
9654 2014-02-27 Daniel Colascione <dancol@dancol.org>
9656 * minibuffer.el (completion--nth-completion): Fix indentation.
9658 * net/tramp-sh.el (tramp-get-remote-path): Don't signal error when
9659 explicit tramp path is empty.
9661 2014-02-27 Glenn Morris <rgm@gnu.org>
9663 * emacs-lisp/crm.el (completing-read-multiple):
9664 Empower help-enable-auto-load.
9666 2014-02-26 Glenn Morris <rgm@gnu.org>
9668 * startup.el (command-line): Don't init the tty in daemon mode.
9670 Avoid calling tty-setup-hook twice, eg if a term file
9671 explicitly calls tty-run-terminal-initialization. (Bug#16859)
9672 * faces.el (tty-run-terminal-initialization): Add run-hook argument.
9673 (tty-create-frame-with-faces): Use it.
9674 * startup.el (command-line): Pass run-hook argument
9675 to tty-run-terminal-initialization.
9677 * dired.el (dired-restore-desktop-buffer): Demote errors;
9678 eg in case a glob match fails. (Bug#16884)
9680 2014-02-26 Dmitry Gutov <dgutov@yandex.ru>
9682 * emacs-lisp/lisp.el (lisp--local-variables): Catch `end-of-file'
9683 error from `read-from-string'. (Bug#16850)
9685 * emacs-lisp/ert.el (ert-run-tests-interactively): `read' the
9686 result of `completing-read' in the interactive form. (Bug#16854)
9688 2014-02-25 Glenn Morris <rgm@gnu.org>
9690 * image.el (image-animate, image-animate-timeout):
9691 Stop animating images in dead buffers. (Bug#16878)
9693 * emacs-lisp/edebug.el (defmacro): Fix debug spec. (Bug#16868)
9695 * faces.el (tty-setup-hook, tty-run-terminal-initialization):
9697 * startup.el (term-setup-hook): Doc fix. Make obsolete.
9698 * term/sun.el (sun-raw-prefix-hooks):
9699 Use tty-setup-hook instead of term-setup-hook.
9700 (terminal-init-sun): Construct message from bytecomp plist.
9701 * term/wyse50.el (enable-arrow-keys): Doc fix.
9703 2014-02-24 Juanma Barranquero <lekktu@gmail.com>
9705 * term/sun.el (kill-region-and-unmark, sun-raw-prefix-hooks):
9706 Fix docstring typos.
9708 2014-02-24 Michael Albinus <michael.albinus@gmx.de>
9710 * net/tramp-sh.el (tramp-sh-handle-file-truename): Improve last fix.
9712 2014-02-24 Nicolas Richard <theonewiththeevillook@yahoo.fr>
9714 * minibuffer.el (completion--try-word-completion):
9715 Fix error when completing M-x commands (bug#16808).
9717 2014-02-24 Leo Liu <sdl.web@gmail.com>
9719 * emacs-lisp/easy-mmode.el (define-minor-mode): Fix debug spec.
9721 2014-02-24 Juanma Barranquero <lekktu@gmail.com>
9723 * apropos.el (apropos-print): Avoid formatting error when
9724 apropos-do-all and apropos-compact-layout are both t.
9726 2014-02-23 Juanma Barranquero <lekktu@gmail.com>
9728 * apropos.el (apropos-property, apropos-all-words-regexp)
9729 (apropos-true-hit, apropos-variable, apropos-print):
9730 Fix docstring typos, and remove obsolete comment.
9732 2014-02-23 Michael Albinus <michael.albinus@gmx.de>
9734 * net/tramp-sh.el (tramp-sh-handle-file-truename):
9735 Preserve trailing "/". (Bug#16851)
9737 2014-02-23 Dmitry Gutov <dgutov@yandex.ru>
9739 * progmodes/ruby-mode.el (ruby-smie-rules): Don't indent specially
9740 after `=>' (bug#16811).
9741 (ruby-smie-rules): Handle the inconsistent second element of the
9742 list returned by `smie-indent--parent'.
9743 (ruby-font-lock-keywords): Disqualify any identifier before `=' as
9746 2014-02-23 Juanma Barranquero <lekktu@gmail.com>
9748 * elec-pair.el (electric-pair-text-syntax-table)
9749 (electric-pair-syntax-info, electric-pair--syntax-ppss)
9750 (electric-pair--balance-info, electric-pair-mode): Fix docstring typos.
9751 (electric-pair--looking-at-unterminated-string-p): Doc fix.
9752 (electric-pair--inside-string-p): Doc fix. Use `let', not `let*'.
9754 2014-02-22 Glenn Morris <rgm@gnu.org>
9756 * imenu.el (imenu--generic-function): Doc fix.
9758 * register.el (frame-configuration-to-register): Make obsolete.
9760 2014-02-22 Juanma Barranquero <lekktu@gmail.com>
9762 * desktop.el (desktop-save-buffer-p): Do not fail when
9763 desktop-files-not-to-save is nil. Return t for true result
9766 2014-02-22 Daniel Colascione <dancol@dancol.org>
9768 * net/secrets.el (secrets-create-item, secrets-search-items):
9769 Check that attribute values are strings, avoiding the construction
9770 of invalid dbus messages.
9772 2014-02-21 Juanma Barranquero <lekktu@gmail.com>
9774 * emacs-lisp/gv.el: Avoid duplicating gv-expander and gv-setter in
9775 defun-declarations-alist.
9777 2014-02-21 Stefan Monnier <monnier@iro.umontreal.ca>
9779 * emacs-lisp/cl-macs.el (cl-define-compiler-macro): Add indent rule
9782 2014-02-21 Juanma Barranquero <lekktu@gmail.com>
9784 * whitespace.el (whitespace-space, whitespace-hspace, whitespace-tab)
9785 (whitespace-newline, whitespace-trailing, whitespace-line)
9786 (whitespace-space-before-tab, whitespace-indentation, whitespace-empty)
9787 (whitespace-space-after-tab): Fix typo in docstrings.
9789 2014-02-21 Dmitry Gutov <dgutov@yandex.ru>
9791 * progmodes/ruby-mode.el (auto-mode-alist): Add missing "or".
9793 * electric.el (electric-indent-functions-without-reindent):
9794 Add `yaml-indent-line'.
9796 2014-02-21 Juanma Barranquero <lekktu@gmail.com>
9798 * w32-vars.el (w32-enable-synthesized-fonts): Mark as obsolete.
9799 It has done nothing for years; should be removed after the release.
9801 * simple.el (choose-completion): Fix docstring typo.
9802 (read-quoted-char-radix): Remove unneeded * in docstring.
9803 (process-file, kill-whole-line, pop-to-mark-command, set-mark-command):
9804 Don't escape parentheses unnecessarily in docstrings.
9806 2014-02-21 Martin Rudalics <rudalics@gmx.at>
9808 Fix handling of window-min-height/-width (Bug#16738).
9809 * window.el (window--dump-window, window--dump-frame):
9811 (window--min-size-1): Account for window dividers.
9812 When window-resize-pixelwise is nil, delay rounding till after the
9813 sum of the window components has been calculated.
9814 (window--min-delta-1, window--max-delta-1): When PIXELWISE is
9815 nil make sure at least one text line and two text columns remain
9817 (window-resize): Signal an error when window-resize-apply fails.
9818 (window--resize-child-windows): Fix calculation of by how many
9819 pixels a window can still be shrunk via window-new-normal.
9820 (adjust-window-trailing-edge): Call window--resizable with
9821 correct TRAIL argument.
9823 (with-temp-buffer-window): Don't evaluate BODY within
9824 with-current-buffer (Bug#16816).
9826 2014-02-21 Michael Albinus <michael.albinus@gmx.de>
9828 * net/tramp.el (tramp-check-cached-permissions):
9829 Call `file-attributes' with `suffix' being a symbol but a string.
9831 2014-02-21 Daniel Colascione <dancol@dancol.org>
9833 * net/dbus.el (dbus-init-bus-1): Declare new subr.
9834 (dbus-init-bus): New function: call into dbus-init-bus-1
9835 and installs a handler for the disconnect signal.
9836 (dbus-call-method): Rewrite to look for result in cons.
9837 (dbus-call-method-handler): Store result in cons.
9838 (dbus-check-event): Recognize events with nil sender as valid.
9839 (dbus-handle-bus-disconnect): New function. React to bus
9840 disconnection signal by synthesizing dbus error for each
9841 pending synchronous or asynchronous call.
9842 (dbus-notice-synchronous-call-errors): New function.
9843 (dbus-handle-event): Raise errors directly only when `dbus-debug'
9844 is true, not all the time.
9846 2014-02-21 Juanma Barranquero <lekktu@gmail.com>
9848 * w32-fns.el (w32-enable-italics, w32-charset-to-codepage-alist):
9849 Remove obsolescence declarations, these variables do not exist anymore.
9851 * savehist.el (savehist-save-minibuffer-history)
9852 (savehist-additional-variables, savehist-file, savehist-mode-hook)
9853 (savehist-save-hook, savehist-coding-system, savehist-loaded)
9854 (savehist-load, savehist-install, savehist-autosave): Fix typos;
9855 mostly, refer to "Savehist mode" when talking about the mode,
9856 and not the function.
9858 * saveplace.el (save-place): Remove redundant info in docstring.
9859 (save-place-forget-unreadable-files, toggle-save-place)
9860 (save-place-forget-unreadable-files, save-place-dired-hook):
9861 Fix typos and remove unneeded backslashes.
9863 2014-02-20 Michael Albinus <michael.albinus@gmx.de>
9865 * net/tramp.el (ls-lisp-use-insert-directory-program): Declare.
9866 (tramp-handle-insert-directory): New defun, taken from tramp-gvfs.el.
9868 * net/tramp-gvfs.el (tramp-gvfs-file-name-handler-alist)
9869 <insert-directory>: Use `tramp-handle-insert-directory'.
9870 (tramp-gvfs-handle-insert-directory): Remove function.
9872 * net/tramp-sh.el (tramp-sh-handle-insert-directory):
9873 Call `tramp-handle-insert-directory'.
9875 2014-02-20 Juanma Barranquero <lekktu@gmail.com>
9877 * elec-pair.el (electric-pair-syntax-info): Do not check syntax
9878 before the start of buffer/region (bug#16799).
9880 2014-02-20 Glenn Morris <rgm@gnu.org>
9882 * isearch.el (search-invisible): Doc fix.
9884 2014-02-20 W. Trevor King <wking@tremily.us> (tiny change)
9886 * term/xterm.el (xterm--version-handler): Adapt to xterm-280's output
9889 2014-02-19 Juanma Barranquero <lekktu@gmail.com>
9891 * frameset.el (frameset-restore): Delay removing an old frame's
9892 duplicate id until the new frame has been correctly created.
9894 2014-02-19 Michael Albinus <michael.albinus@gmx.de>
9896 * net/tramp.el (tramp-handle-make-symbolic-link): New defun.
9897 (tramp-check-cached-permissions): Call `file-attributes' if the
9900 * net/tramp-adb.el (tramp-adb-file-name-handler-alist)
9901 <make-symbolic-link>: Use `tramp-handle-make-symbolic-link'.
9903 * net/tramp-gvfs.el (tramp-gvfs-file-name-handler-alist)
9904 <make-symbolic-link>: Use `tramp-handle-make-symbolic-link'.
9905 (tramp-gvfs-maybe-open-connection): Set always connection
9906 properties, even if target is mounted already.
9908 * net/tramp-sh.el (tramp-color-escape-sequence-regexp):
9909 Set tramp-autoload cookie.
9910 (tramp-get-remote-touch): New defun.
9911 (tramp-sh-handle-set-file-times): Use it.
9912 (tramp-sh-handle-directory-files-and-attributes):
9913 Use `tramp-handle-directory-files-and-attributes' if neither stat
9914 nor perl are available on the remote host.
9916 * net/tramp-smb.el (tramp-smb-handle-insert-directory): Mark trailing
9917 "/". Write long listing only when "l" belongs to the switches.
9919 * net/trampver.el: Update release number.
9921 2014-02-19 Juanma Barranquero <lekktu@gmail.com>
9923 * frameset.el (frameset--reuse-frame): Remove workaround for bug#16793.
9925 2014-02-19 Martin Rudalics <rudalics@gmx.at>
9927 * window.el (window-state-put): Allow WINDOW to refer to an
9928 internal window (Bug#16793).
9930 2014-02-19 Glenn Morris <rgm@gnu.org>
9932 * textmodes/remember.el: Move provide statement to end.
9933 (remember-mode-map, remember-notes-mode-map, remember-notes-mode)
9934 (remember-notes): Doc fixes.
9936 2014-02-18 Stefan Monnier <monnier@iro.umontreal.ca>
9938 * delsel.el (delete-char): Restore incorrectly erased property
9941 2014-02-18 Juanma Barranquero <lekktu@gmail.com>
9943 * frameset.el (frameset--restore-frame): When a frame is being reused
9944 and its root window is not alive, delete all the frame's windows before
9945 restoring the window state. This works around the issue in bug#16793.
9947 2014-02-18 Glenn Morris <rgm@gnu.org>
9949 * textmodes/remember.el (remember-data-directory)
9950 (remember-directory-file-name-format, remember-store-in-files)
9951 (remember-notes-initial-major-mode, remember-notes-bury-on-kill)
9952 (remember-notes-save-and-bury-buffer)
9953 (remember-notes--kill-buffer-query): Doc fixes.
9955 * desktop.el (desktop-save-mode, desktop-auto-save-timeout): Doc fixes.
9957 2014-02-17 Alan Mackenzie <acm@muc.de>
9959 Connect electric-indent-mode up with CC Mode. Bug #15478.
9960 * progmodes/cc-mode.el (c-initialize-cc-mode): Add CC Mode hooks
9961 to electric-indent-{,local-}-mode.
9962 (c-basic-common-init): Set electric-indent-inhibit.
9963 Initialise c-electric-flag from electric-indent-mode.
9964 (c-electric-indent-mode-hook, c-electric-indent-local-mode-hook):
9965 New hook functions which propagate electric-indent-mode to CC mode.
9967 * progmodes/cc-cmds.el (c-toggle-electric-state): When C-c C-l is
9968 hit, toggle electric-indent-local-mode.
9970 * electric.el (electric-indent-mode-has-been-called):
9973 2014-02-17 Juanma Barranquero <lekktu@gmail.com>
9975 * frameset.el (frameset-cfg-id): New function.
9976 (frameset--reuse-frame, frameset-restore): Use it.
9977 (frameset--jump-to-register): Try harder to reuse frames (bug#16748).
9979 2014-02-17 Stefan Monnier <monnier@iro.umontreal.ca>
9981 * ido.el (ido-file-internal): Remove unused var `d'.
9982 Use \` for to match BoS. Fit within 80n columns.
9984 2014-02-17 Daniel Colascione <dancol@dancol.org>
9986 * net/dbus.el (dbus-call-method): Work around bug#16775 by having
9987 dbus-call-method check for completion using a busy-wait loop with
9990 2014-02-16 Michael Albinus <michael.albinus@gmx.de>
9992 Sync with Tramp 2.2.9.
9994 * net/trampver.el: Update release number.
9996 2014-02-16 Dmitry Gutov <dgutov@yandex.ru>
9998 * ido.el (ido-file-internal): Don't add the name of an existing
9999 directory twice. (Bug#16747)
10001 2014-02-16 Glenn Morris <rgm@gnu.org>
10003 * vc/ediff-init.el (ediff-use-faces, ediff-highlight-all-diffs):
10004 Do not use ediff-defvar-local on pre-defined variables. (Bug#16744)
10006 2014-02-15 Michael R. Mauger <michael@mauger.com>
10008 * progmodes/sql.el: Version 3.4
10009 (sql-oracle-options): New default value ("-L").
10010 (sql-mode-oracle-font-lock-keywords): Add placeholder highlighting.
10011 (sql-placeholders-filter): Correct placeholder pattern.
10012 (sql-read-table-name): Bug fix. Detect absence of SQLi process.
10013 (sql-login-delay): New variable.
10014 (sql-product-interactive): Use it.
10016 2014-02-15 Juanma Barranquero <lekktu@gmail.com>
10018 * frameset.el (frameset--jump-to-register): Check that buffer is live
10021 2014-02-15 Glenn Morris <rgm@gnu.org>
10023 * info.el (info-initialize): Revert 2014-01-10 change.
10025 2014-02-14 Glenn Morris <rgm@gnu.org>
10027 * replace.el (map-query-replace-regexp)
10028 (read-regexp-defaults-function, read-regexp): Doc fixes.
10030 * dired.el (dired-read-regexp):
10031 * faces.el (list-faces-display):
10032 * misearch.el (multi-isearch-read-matching-buffers)
10033 (multi-isearch-read-matching-files):
10034 * play/cookie1.el (cookie-apropos):
10035 * progmodes/grep.el (grep-read-regexp): Doc fixes.
10037 * textmodes/remember.el (remember): Use frameset-to-register
10038 rather than frame-configuration-to-register.
10040 2014-02-14 Jay Belanger <jay.p.belanger@gmail.com>
10042 * calc/calc-menu.el (calc-vectors-menu): Remove menu item for
10043 incorrect keybinding.
10045 2014-02-13 Daniel Colascione <dancol@dancol.org>
10047 * progmodes/flymake.el (flymake-post-syntax-check): Widen buffer
10048 when adding overlays so that line numbers from compiler match line
10051 2014-02-13 Glenn Morris <rgm@gnu.org>
10053 * mail/rmail.el (rmail-probe): Be less strict. (Bug#16743)
10055 * jit-lock.el (jit-lock-mode): Doc fix.
10057 2014-02-13 Juanma Barranquero <lekktu@gmail.com>
10059 * apropos.el (apropos-read-pattern): When the user passes an empty
10060 string, give a more helpful error message than "Wrong type
10061 argument: stringp, nil".
10063 2014-02-13 Stefan Monnier <monnier@iro.umontreal.ca>
10065 * jit-lock.el (jit-lock-mode): Keep it disabled in indirect buffers.
10067 2014-02-13 Glenn Morris <rgm@gnu.org>
10069 * finder.el (finder-known-keywords, finder-mode-map): Doc fixes.
10071 2014-02-12 Stefan Monnier <monnier@iro.umontreal.ca>
10073 * emulation/cua-base.el (cua-scroll-up, cua-scroll-down): Mark them as
10074 shift-select commands.
10076 2014-02-12 Dmitry Gutov <dgutov@yandex.ru>
10078 * progmodes/js.el (js-indent-line): Don't widen.
10079 http://lists.gnu.org/archive/html/emacs-devel/2012-06/msg00276.html
10081 2014-02-12 Glenn Morris <rgm@gnu.org>
10083 * icomplete.el (icomplete): Add info-link to defgroup.
10084 (icomplete-with-completion-tables, icomplete-minibuffer-setup-hook)
10085 (icomplete-minibuffer-map, icomplete-mode)
10086 (icomplete-simple-completing-p, icomplete-completions): Doc fixes.
10088 * emacs-lisp/package.el (package-menu-mode-map): Tweak menu.
10089 (package-menu-filter): Rename from package-menu-filter-interactive.
10092 2014-02-11 Juanma Barranquero <lekktu@gmail.com>
10094 * frameset.el (frameset--jump-to-register): Select the required
10095 window and buffer before restoring position (bug#16696).
10097 2014-02-11 Lars Ingebrigtsen <larsi@gnus.org>
10099 * dired.el (dired-get-marked-files): Clarify doc (bug#11534).
10101 2014-02-10 Glenn Morris <rgm@gnu.org>
10103 * jit-lock.el (jit-lock-force-redisplay): Doc fix. (Bug#14394)
10105 2014-02-10 Eli Zaretskii <eliz@gnu.org>
10107 * w32-common-fns.el (x-get-selection): Doc fix.
10108 * select.el (x-get-selection): Doc fix. (Bug#15109)
10110 * face-remap.el (face-remap-add-relative)
10111 (face-remap-remove-relative, face-remap-reset-base)
10112 (face-remap-set-base): Call force-mode-line-update to redisplay
10113 the current buffer due to potential change in faces. (Bug#16709)
10115 2014-02-10 Michael Albinus <michael.albinus@gmx.de>
10117 * net/tramp-sh.el (tramp-sh-handle-vc-registered): Apply heredoc
10118 script more robustly.
10120 2014-02-10 Lars Ingebrigtsen <larsi@gnus.org>
10122 * dired.el (dired-get-marked-files): Doc fix (bug#11534).
10124 * simple.el (choose-completion): Doc fix (bug#14160).
10126 * subr.el (event-start): Say what a nil EVENT value means.
10128 * kmacro.el (kmacro-bind-to-key): Say that the parameter is unused
10131 * progmodes/grep.el (find-program): Doc fix (bug#14289).
10133 * files.el (confirm-kill-emacs): Clarify doc (bug#15455).
10135 * emacs-lisp/lisp.el (up-list): Doc fix (bug#15832).
10137 * files.el (confirm-kill-emacs): Allow specifying an arbitrary
10138 predicate function (bug#15455).
10140 2014-02-10 Dmitry Gutov <dgutov@yandex.ru>
10142 * ielm.el (inferior-emacs-lisp-mode): Instead of
10143 `comment-use-global-state', set `comment-use-syntax'.
10145 2014-02-10 Glenn Morris <rgm@gnu.org>
10147 * emacs-lisp/gulp.el (gulp-discard): Add emacs-devel.
10149 2014-02-09 Alan Mackenzie <acm@muc.de>
10151 Fix c-invalidate-state-cache on narrowed buffers.
10152 * progmodes/cc-defs.el (c-with-all-but-one-cpps-commented-out):
10153 Widen when setting and clearing the CPP delimiter properties.
10155 2014-02-09 Lars Ingebrigtsen <larsi@gnus.org>
10157 * help.el (describe-bindings): Doc fix (bug#9888).
10159 * files.el (save-buffer): Use ARG as the parameter name for
10160 consistency (bug#10346).
10161 (save-buffer): Clarify the 0 argument (bug#10346).
10163 * cus-edit.el (customize-apropos): Fix error string.
10164 (custom-buffer-create): Doc fix (bug#11122).
10165 (custom-sort-items): Doc fix (bug#11121).
10167 * repeat.el (repeat-message-function): Reword doc slightly (bug#11619).
10169 * icomplete.el (icomplete-with-completion-tables): Doc fix (bug#11654).
10170 (icomplete-simple-completing-p): Mention the previous variable.
10172 * font-lock.el (font-lock-value-in-major-mode): Clarify the
10173 meaning of the parameter (bug#12282).
10175 * files.el (find-file-noselect): Clarify prompt when changing
10176 readedness (bug#13261).
10177 (locate-file): Suffixes aren't returned, so don't say that they
10179 (backup-inhibited): Doc clarification (bug#12525).
10181 * dired.el (dired-internal-do-deletions): Don't say "Deleting..."
10182 before we actually start to delete things (bug#16331).
10184 * subr.el (event-start): Doc fix (bug#14228).
10185 (event-end): Ditto.
10187 2014-02-09 Glenn Morris <rgm@gnu.org>
10189 * emacs-lisp/warnings.el (lwarn):
10190 Empower help-enable-auto-load. (Bug#15940)
10192 2014-02-08 Andreas Schwab <schwab@linux-m68k.org>
10194 * vc/log-edit.el (log-edit-comment-to-change-log): Doc fix.
10197 2014-02-08 Michael Albinus <michael.albinus@gmx.de>
10199 * net/tramp-sh.el (tramp-sh-handle-start-file-process):
10200 Insert output at end of buffer. (Bug#16120)
10202 2014-02-08 Lars Ingebrigtsen <larsi@gnus.org>
10204 * simple.el (choose-completion-string-functions): Document new
10205 calling convention (bug#14153).
10206 (execute-extended-command): Clarify doc string (bug#13373).
10208 * kmacro.el (kmacro-exec-ring-item): Doc fix (bug#14198).
10210 * find-dired.el (find-name-dired): Doc fix (bug#14290).
10211 (find-grep-dired): Doc fix (bug#14288).
10213 2014-02-08 Juri Linkov <juri@jurta.org>
10215 * isearch.el (isearch-quote-char): Check character validity
10216 like in `quoted-insert' (bug#16677).
10218 2014-02-08 Lars Ingebrigtsen <larsi@gnus.org>
10220 * files.el (find-file-visit-truename): Doc clarification (bug#14697).
10222 * isearch.el (isearch-hide-immediately): Doc clarification
10225 * simple.el (line-move): Document utility function used many
10226 places in the Emacs sources (bug#14843).
10228 * dired.el (dired-mode-map): Make :help text more accurate (bug#14893).
10229 (dired-prev-marked-file): Doc fix (bug#14855).
10230 (dired-up-directory): Doc fix (bug#14848).
10232 * minibuffer.el (read-file-name): Doc clarification (bug#15096).
10234 * files.el (file-relative-name): Doc fix (bug#15159).
10236 * fringe.el (fringe-styles): Doc fix (bug#15239).
10238 * isearch.el (isearch-filter-predicate): Documentation typo fix
10241 * info-look.el (info-lookup-symbol): Document MODE (bug#15498).
10243 * isearch.el (isearch-cmds): Doc clarification (bug#15547).
10245 * replace.el (replace-match-maybe-edit): Doc clarification
10248 * subr.el (add-to-list): Refill the paragraphs (bug#15791).
10250 * macros.el (insert-kbd-macro): Doc fix (bug#16025).
10252 2014-02-08 Glenn Morris <rgm@gnu.org>
10254 * help-fns.el (describe-variable):
10255 Check {file,dir}-local-variables-alist, and buffer-file-name,
10256 in the correct buffer.
10258 2014-02-08 Ingo Lohmar <i.lohmar@gmail.com>
10260 * help-fns.el (describe-variable): Fix the case where
10261 a value is directory-local with no dir-locals file. (Bug#16635)
10263 2014-02-08 Glenn Morris <rgm@gnu.org>
10265 * abbrev.el (edit-abbrevs-mode):
10266 Derive from fundamental-mode. (Bug#16682)
10268 2014-02-07 Juanma Barranquero <lekktu@gmail.com>
10270 * simple.el (quoted-insert): Check character validity (bug#16677).
10272 2014-02-07 Juri Linkov <juri@jurta.org>
10274 * desktop.el (desktop-read): Claim the lock when the owner is not
10275 the current process. (Bug#16157)
10277 2014-02-07 Juri Linkov <juri@jurta.org>
10279 * desktop.el (desktop-buffers-not-to-save): Change default from nil
10280 to "\\` ". (Bug#16651)
10282 2014-02-07 Juri Linkov <juri@jurta.org>
10284 * desktop.el (desktop-save-mode): Call `desktop-auto-save-set-timer'
10285 when enabling, and `desktop-auto-save-cancel-timer' when disabling.
10286 (desktop-auto-save-cancel-timer): New function with some code from
10287 `desktop-auto-save-set-timer'.
10288 (after-init-hook): Don't call `desktop-auto-save-set-timer'.
10289 Instead of setting `desktop-save-mode' to nil, call
10290 `desktop-save-mode' with arg 0. (Bug#16630)
10292 2014-02-07 Glenn Morris <rgm@gnu.org>
10294 * hi-lock.el (hi-lock-auto-select-face, hi-lock-line-face-buffer)
10295 (hi-lock-face-buffer, hi-lock-face-phrase-buffer)
10296 (hi-lock-face-symbol-at-point, hi-lock-read-face-name): Doc tweaks.
10298 * obsolete/iswitchb.el: Move to obsolete/.
10299 * simple.el (iswitchb-mode): Add manual autoloads to ease transition,
10300 since obsolete/ is not scanned for autoloads.
10301 * emacs-lisp/authors.el (authors-valid-file-names):
10304 * obsolete/meese.el: Restore as obsolete (deleted 2014-01-11).
10305 Disable now non-functional find-file-hook.
10307 2014-02-06 Michael Albinus <michael.albinus@gmx.de>
10309 * net/tramp-sh.el (tramp-sh-handle-start-file-process): Use "&&"
10310 instead of ";" in order to avoid additional prompts. Let heredoc
10311 scripts read from tty. (Bug#16582)
10312 (tramp-send-command): No special handling of heredocs, it isn't
10315 2014-02-06 Stefan Monnier <monnier@iro.umontreal.ca>
10317 * emacs-lisp/lisp.el (lisp-completion-at-point): Symbols don't start
10318 with a space (bug#16664). Limit the symbols considered to the ones
10319 that are bound or fbound (bug#16646).
10321 2014-02-06 Glenn Morris <rgm@gnu.org>
10323 * epa.el (epa-mail-aliases): Doc fix.
10325 2014-02-06 Dmitry Gutov <dgutov@yandex.ru>
10327 * emacs-lisp/lisp.el (lisp-completion-at-point):
10328 Use `completion-table-merge' instead of `completion-table-in-turn'
10331 * minibuffer.el (completion-table-merge): New function.
10333 2014-02-05 Michael Albinus <michael.albinus@gmx.de>
10335 * net/tramp-sh.el (tramp-end-of-heredoc): New defconst.
10336 (tramp-sh-handle-set-file-acl)
10337 (tramp-sh-handle-start-file-process)
10338 (tramp-sh-handle-write-region, tramp-sh-handle-vc-registered)
10339 (tramp-find-executable, tramp-send-command): Use it.
10341 2014-02-05 Glenn Morris <rgm@gnu.org>
10343 * epa.el (epa-mail-aliases): Fix custom type. Doc tweak.
10345 2014-02-04 Fabián Ezequiel Gallina <fgallina@gnu.org>
10347 * progmodes/python.el (python-shell-send-string)
10348 (python-shell-send-string-no-output): Fix docstring (Bug#16547).
10350 2014-02-04 Anders Lindgren <andlind@gmail.com>
10352 * emacs-lisp/ert.el (ert--activate-font-lock-keywords): Allow dashes in
10353 the names (bug#16620).
10355 2014-02-03 Martin Rudalics <rudalics@gmx.at>
10357 * faces.el (window-divider): New default value. Rewrite doc-string.
10358 (window-divider-first-pixel, window-divider-last-pixel): New faces.
10360 2014-02-03 Dmitry Gutov <dgutov@yandex.ru>
10362 * progmodes/ruby-mode.el (ruby-font-lock-keywords): `private',
10363 `protected' and `public' can also be called without arguments.
10365 2014-02-03 Glenn Morris <rgm@gnu.org>
10367 * register.el (window-configuration-to-register)
10368 (frame-configuration-to-register): Unadvertise unused argument.
10369 * frameset.el (frameset-to-register): Remove unused argument.
10371 * frameset.el (frameset-to-register):
10372 * kmacro.el (kmacro-to-register):
10373 * register.el (increment-register):
10374 * calc/calc-yank.el (calc-copy-to-register, calc-insert-register)
10375 (calc-append-to-register, calc-prepend-to-register):
10376 * play/gametree.el (gametree-layout-to-register)
10377 (gametree-apply-register-layout):
10378 * textmodes/picture.el (picture-clear-rectangle-to-register)
10379 (picture-yank-rectangle-from-register):
10380 * vc/emerge.el (emerge-combine-versions-register):
10381 Use register-read-with-preview to read registers.
10383 2014-02-03 João Távora <joaotavora@gmail.com>
10385 * elec-pair.el (electric-pair-backward-delete-char): Don't error
10386 when at beginning of (possibly narrowed) buffer.
10388 2014-02-02 Daniel Colascione <dancol@dancol.org>
10390 * help-at-pt.el (help-at-pt-string, help-at-pt-maybe-display):
10391 Also try to display local help from just before point.
10393 2014-02-02 Alan Mackenzie <acm@muc.de>
10395 c-parse-state. Don't "append-lower-brace-pair" in certain
10396 circumstances. Also fix an obscure bug where "\\s!" shouldn't be
10397 recognised as a comment.
10399 * progmodes/cc-engine.el (c-state-pp-to-literal): Check for "\\s!"
10400 as well as normal comment starter.
10401 (c-parse-state-get-strategy): Extra return possibility
10403 (c-remove-stale-state-cache): Extra element CONS-SEPARATED in
10404 return value list to indicate replacement of a brace-pair cons
10406 (c-parse-state-1): With 'back-and-forward, only call
10407 c-append-lower-brace-pair-to state-cache when cons-separated.
10409 2014-02-02 Jan Djärv <jan.h.d@swipnet.se>
10411 * term/ns-win.el (ns-suspend-error): New function.
10412 (ns-initialize-window-system): Add ns-suspend-error to
10413 suspend-hook (Bug#16612).
10415 2014-02-02 Daniel Colascione <dancol@dancol.org>
10417 * progmodes/cc-defs.el (c-find-assignment-for-mode):
10418 Make loading cc-mode silent.
10420 2014-02-02 Daniel Colascione <dancol@dancol.org>
10422 * comint.el (comint-prompt-read-only): Change doc to suggest
10425 2014-02-02 Glenn Morris <rgm@gnu.org>
10427 * register.el (register-read-with-preview, point-to-register)
10428 (window-configuration-to-register, frame-configuration-to-register)
10429 (jump-to-register, number-to-register, view-register, insert-register)
10430 (copy-to-register, append-to-register, prepend-to-register)
10431 (copy-rectangle-to-register): Doc fixes.
10433 2014-02-02 Stefan Monnier <monnier@iro.umontreal.ca>
10435 * help-fns.el (help-C-file-name): Handle advised functions (bug#16478).
10436 * emacs-lisp/find-func.el (find-function-C-source): Idem.
10437 * emacs-lisp/nadvice.el (advice--cd*r): New function.
10438 * help-fns.el (describe-function-1): Use it.
10440 2014-02-02 Glenn Morris <rgm@gnu.org>
10442 * register.el (register-preview-default): New function,
10443 split from register-preview.
10444 (register-preview-function): Rename from register-preview-functions,
10445 make it not a hook.
10446 (register-preview): Use register-preview-function.
10447 (register-read-with-preview): Error on non-character event. (Bug#16595)
10449 2014-02-01 Dmitry Gutov <dgutov@yandex.ru>
10451 * progmodes/ruby-mode.el (ruby-smie--implicit-semi-p): Check for
10452 `:' before binary operators (bug#16609). Don't check for `:'
10453 before `[' and `(', or their syntax status. A percent literal
10454 can't end with either.
10455 (ruby-font-lock-keywords): For built-ins that require arguments,
10456 check that they're followed by something that looks like argument
10459 2014-02-01 Lars Ingebrigtsen <larsi@gnus.org>
10461 * subr.el (butlast): Document what an omitted N means (bug#13437).
10464 2014-01-31 Lars Ingebrigtsen <larsi@gnus.org>
10466 * net/shr.el (shr-generic): Make into a defsubst to make the stack
10467 depth shallower (bug#16587).
10468 (shr-tag-svg): Respect `shr-inhibit-images'.
10469 (shr-dom-to-xml): Respect `shr-blocked-images' (bug#15882).
10471 2014-01-31 Dmitry Gutov <dgutov@yandex.ru>
10473 * progmodes/ruby-mode.el (ruby-align-chained-calls): New option.
10474 (ruby-smie-grammar): Make "." right-associative. Make its priority
10475 lower than the ternary and all binary operators.
10476 (ruby-smie-rules): Indent "(" relative to the first non-"."
10477 parent, or the first "." parent at indentation.
10478 Use `ruby-align-chained-calls' for indentation of "." tokens.
10481 2014-01-31 Juri Linkov <juri@jurta.org>
10483 * sort.el (delete-duplicate-lines): Remove `:weakness 'key'
10484 from `make-hash-table'.
10486 * textmodes/ispell.el (ispell-init-process): Change message format
10487 to be consistent with other messages.
10489 2014-01-31 Glenn Morris <rgm@gnu.org>
10491 * delsel.el (delete-selection-mode): Doc fix.
10493 * emacs-lisp/trace.el (trace--read-args, trace-function-foreground)
10494 (trace-function-background): Doc fixes.
10496 * ido.el (ido-use-virtual-buffers): Doc fix.
10497 Reset :version, since the default value has not changed.
10499 * register.el (register-preview-delay, register-read-with-preview):
10502 * mail/reporter.el (reporter-dump-variable): In case of void-variable,
10503 do not mess with mail-buffer position (fixes 2009-11-03 change).
10504 * progmodes/cc-mode.el (c-submit-bug-report):
10505 Check auto-fill-mode is bound. (Bug#16592)
10507 2014-01-31 Darren Hoo <darren.hoo@gmail.com>
10509 * startup.el (fancy-splash-image-file): New function,
10510 split from fancy-splash-head.
10511 (fancy-splash-head, use-fancy-splash-screens-p): Use it,
10512 so that we are both using the same image. (Bug#16574)
10514 2014-01-30 Glenn Morris <rgm@gnu.org>
10516 * simple.el (eval-expression): Doc fix.
10518 * hexl.el (hexl-mode-hook):
10519 * ielm.el (ielm-mode-hook):
10520 * emacs-lisp/lisp-mode.el (emacs-lisp-mode-hook)
10521 (lisp-interaction-mode-hook):
10522 * progmodes/cfengine.el (cfengine3-documentation-function):
10523 Replace obsolete alias `turn-on-eldoc-mode' with `eldoc-mode'.
10525 2014-01-30 Stefan Monnier <monnier@iro.umontreal.ca>
10527 * emacs-lisp/eieio-opt.el (eieio-help-generic): Don't assume `generic'
10528 is a symbol (bug#16584).
10530 2014-01-30 Glenn Morris <rgm@gnu.org>
10532 * help.el (help-for-help-internal): Add "P" to text.
10534 2014-01-29 Glenn Morris <rgm@gnu.org>
10536 * simple.el (just-one-space, cycle-spacing): Doc fixes.
10538 2014-01-28 Martin Rudalics <rudalics@gmx.at>
10540 * window.el (fit-frame-to-buffer): Fix calculations for margins and
10541 height constraints.
10543 2014-01-28 Luke Lee <luke.yx.lee@gmail.com>
10545 * progmodes/hideif.el: Extend to full CPP expression syntax.
10546 (hif-token-alist): Add missing tokens.
10547 (hif-token-regexp): Add support for float/octal/hex immediates.
10548 (hif-string-literal-regexp): New const.
10549 (hif-tokenize): Recognize strings and float/octal/hex immediates.
10550 (hif-exprlist): New function.
10551 (hif-parse-if-exp): Use it.
10552 (hif-logior-expr, hif-logxor-expr, hif-logand-expr, hif-comp-expr)
10553 (hif-logshift-expr, hif-muldiv-expr, hif-lognot, hif-shiftleft)
10554 (hif-shiftright, hif-multiply, hif-divide, hif-modulo, hif-equal)
10555 (hif-logxor, hif-comma): New functions.
10557 2014-01-28 Glenn Morris <rgm@gnu.org>
10559 * textmodes/fill.el (fill-single-char-nobreak-p): Doc tweak.
10561 * indent.el (tab-stop-list): Doc fix. Add :version.
10563 * vc/pcvs.el (vc-editable-p, vc-checkout): Remove unused declarations.
10564 (cvs-append-to-ignore): Add compatibility alias.
10566 2014-01-27 Glenn Morris <rgm@gnu.org>
10568 * dired.el (dired-hide-details-mode): Don't autoload it,
10569 since it cannot be used outside Dired buffers anyway.
10571 * emulation/cua-base.el (cua-mode): Doc fix.
10573 * dired.el (dired-hide-details-hide-symlink-targets)
10574 (dired-hide-details-hide-information-lines)
10575 (dired-hide-details-mode): Doc fixes.
10577 * shadowfile.el (shadow-info-file, shadow-todo-file): Doc fix.
10578 * strokes.el (strokes-file): Doc fix. Bump :version.
10579 (strokes-help): Doc fix.
10580 * emulation/viper-init.el (viper-vi-style-in-minibuffer): Doc fix.
10581 * emulation/viper.el (viper): Doc fix for custom group.
10582 (top-level): Remove oh-so-no-longer-relevant text about vip.
10583 * obsolete/otodo-mode.el (todo-prefix): Doc fix.
10585 * ido.el (ido-save-directory-list-file):
10586 * saveplace.el (save-place-file):
10587 * calendar/timeclock.el (timeclock-file):
10588 * net/quickurl.el (quickurl-url-file):
10589 * obsolete/otodo-mode.el (todo-file-do, todo-file-done, todo-file-top):
10590 * progmodes/idlwave.el (idlwave-config-directory):
10591 * textmodes/remember.el (remember-data-file):
10594 2014-01-26 Glenn Morris <rgm@gnu.org>
10596 * progmodes/opascal.el (opascal-tab-always-indents, opascal-tab):
10597 Doc fix. Make obsolete.
10598 (opascal-mode): No longer mention opascal-tab-always-indents in doc.
10600 * sort.el (delete-duplicate-lines): Doc fix.
10602 2014-01-25 Rüdiger Sonderfeld <ruediger@c-plusplus.de>
10604 * progmodes/ada-mode.el (ada):
10605 * woman.el (woman): Link to info manual and Commentary section.
10607 * progmodes/flymake.el (flymake):
10608 * nxml/nxml-mode.el (nxml):
10609 * net/eww.el (eww):
10610 * speedbar.el (speedbar, speedbar-faces, speedbar-vc):
10611 * htmlfontify.el (htmlfontify):
10614 * ido.el (ido): Link to info manual.
10616 2014-01-25 Leo Liu <sdl.web@gmail.com>
10618 * progmodes/flymake.el (flymake-make-overlay): No rear advance.
10620 2014-01-25 Adam Sjøgren <asjo@koldfront.dk>
10622 * net/shr.el (shr-tag-img): Prefer the title over the alt text
10625 2014-01-24 Juanma Barranquero <lekktu@gmail.com>
10627 * net/eww.el (eww-download-callback):
10628 Fix reference to eww-download-directory.
10630 * emacs-lisp/bytecomp.el (byte-compile-file):
10631 Remove unused local variable `file-name'.
10633 2014-01-24 Glenn Morris <rgm@gnu.org>
10635 * woman.el (woman-default-faces, woman-monochrome-faces):
10636 Fix obsolescence specification.
10638 * subr.el (with-demoted-errors): Doc fix.
10640 2014-01-23 Stefan Monnier <monnier@iro.umontreal.ca>
10642 * emacs-lisp/cl-macs.el: Improve type->predicate mapping (bug#16520).
10643 (cl--macroexp-fboundp): New function.
10644 (cl--make-type-test): Use it.
10646 2014-01-23 Glenn Morris <rgm@gnu.org>
10648 * emacs-lisp/lisp-mode.el (eval-print-last-sexp, eval-last-sexp):
10649 * simple.el (eval-expression): Doc fixes.
10651 2014-01-22 Glenn Morris <rgm@gnu.org>
10653 * emacs-lisp/authors.el (authors-fixed-entries): Addition.
10655 2014-01-22 Stefan Monnier <monnier@iro.umontreal.ca>
10657 * emacs-lisp/package.el: Write files silently.
10658 (package-autoload-ensure-default-file, package--write-file-no-coding)
10659 (package-generate-description-file, package--download-one-archive)
10660 (package-install-from-archive): Tell `write-region' to stay quiet.
10661 (package-menu-mode, package-menu--print-info): Omit the Archive column
10662 if there's only one archive.
10663 (package-all-keywords, package--has-keyword-p): Remove dead code.
10665 2014-01-22 Glenn Morris <rgm@gnu.org>
10667 * version.el (emacs-bzr-version-bzr): Fix typo.
10669 * version.el (emacs-repository-get-version):
10670 Check either .bzr or .git, but not both.
10671 Make the git case actually use the DIR argument, and return nil
10672 rather than the empty string.
10673 Avoid error if .git exists but the git executable is not found.
10675 2014-01-22 Martin Rudalics <rudalics@gmx.at>
10677 Fixes in window size functions around Bug#16430 and Bug#16470.
10678 * window.el (window-total-size, window-size): New argument ROUND.
10679 (window--min-delta-1, window-min-delta, window--max-delta-1):
10680 Be more conservative when calculating the numbers of lines or
10681 columns a window can shrink (Bug#16430).
10682 (fit-window-to-buffer): Simplify code.
10683 * term.el (term-window-width): Call window-body-width again.
10685 2014-01-22 Glenn Morris <rgm@gnu.org>
10687 * image.el (image-format-suffixes): Doc fix.
10689 * international/quail.el (quail-define-package): Doc fix.
10691 * emacs-lisp/authors.el (authors-valid-file-names)
10692 (authors-renamed-files-alist): Additions.
10694 * vc/vc-git.el (vc-git-print-log): Remove --follow;
10695 reverts 2014-01-09 change. (Bug#16422)
10697 * calc/calc-embed.el (thing-at-point-looking-at):
10698 * emacs-lisp/map-ynp.el (x-popup-dialog):
10699 * obsolete/lmenu.el (x-popup-dialog):
10700 * emacs-lisp/package.el (url-recreate-url):
10701 * mail/mailclient.el (clipboard-kill-ring-save):
10702 * subr.el (x-popup-dialog): Update declaration.
10703 * mail/rmail.el (rmail-mime-message-p):
10704 * window.el (tool-bar-lines-needed): Remove unnecessary declaration.
10706 2014-01-21 Daniel Colascione <dancol@dancol.org>
10708 * progmodes/sh-script.el (sh--inside-noncommand-expression):
10709 Correctly detect when we're inside an arithmetic expansion form
10710 containing nested parenthesis.
10711 (sh--maybe-here-document): Use `sh--inside-noncommand-expression'
10712 to detect cases where we shouldn't expand "<<" to a heredoc
10715 2014-01-21 Stefan Monnier <monnier@iro.umontreal.ca>
10717 * emacs-lisp/eldoc.el: Properly remove message in minibuffer case.
10718 (eldoc--message-command-p): New function.
10719 (eldoc-display-message-p): Use it.
10720 (eldoc-pre-command-refresh-echo-area): In the minibuffer case, the
10721 message is not automatically erased for us.
10722 (eldoc-print-current-symbol-info): Erase previous message, if any.
10724 2014-01-21 Tassilo Horn <tsdh@gnu.org>
10726 * textmodes/reftex.el (reftex-create-bibtex-file): Fix autoload to
10727 specify it's an interactive function.
10729 * textmodes/reftex-cite.el (reftex-all-used-citation-keys):
10730 Fix regex used for scanning for citation keys which failed for
10731 citations with optional arguments.
10733 2014-01-21 Leo Liu <sdl.web@gmail.com>
10735 * simple.el (read--expression): Don't enable eldoc-mode.
10737 2014-01-20 Stefan Monnier <monnier@iro.umontreal.ca>
10739 * simple.el (move-beginning-of-line): Make sure we don't move forward
10742 2014-01-20 Juri Linkov <juri@jurta.org>
10744 * saveplace.el (toggle-save-place, save-place-to-alist)
10745 (save-places-to-alist, save-place-dired-hook): Add (derived-mode-p
10746 'dired-mode) before checking for dired-directory. (Bug#16477)
10748 2014-01-20 Juri Linkov <juri@jurta.org>
10750 * indent.el (indent-line-to): Use backward-to-indentation
10751 instead of back-to-indentation. (Bug#16461)
10753 2014-01-20 Paul Eggert <eggert@cs.ucla.edu>
10755 Revert some of the CANNOT_DUMP fix (Bug#16494).
10756 Because of this, "make bootstrap" won't work if CANNOT_DUMP=yes,
10757 but fixing this can wait until after the next release.
10758 * Makefile.in (emacs): Keep EMACSLOADPATH empty.
10760 2014-01-19 Michael Albinus <michael.albinus@gmx.de>
10762 * eshell/esh-mode.el (eshell-password-prompt-regexp):
10763 Use `password-word-equivalents'.
10764 (eshell-watch-for-password-prompt): Let-bind `case-fold-search'
10765 to t. (Bug#5664, Bug#13124)
10767 2014-01-19 Alan Mackenzie <acm@muc.de>
10769 Bind open-paren-in-column-0-is-defun-start to nil at some entry
10771 * progmodes/cc-engine.el (c-invalidate-state-cache-1)
10772 (c-parse-state-1, c-guess-basic-syntax): Bind it here.
10773 * progmodes/cc-mode.el (c-before-change, c-after-change)
10774 (c-font-lock-fontify-region): Bind it here.
10776 2014-01-19 Martin Rudalics <rudalics@gmx.at>
10778 * term.el (term-window-width): Call window-text-width instead of
10779 window-width (Bug#16470).
10781 2014-01-18 Paul Eggert <eggert@cs.ucla.edu>
10783 * simple.el (password-word-equivalents): Remove duplicates.
10784 Sort, to make this easier next time.
10785 Downcase. Omit ": " after "jelszó".
10787 2014-01-18 Jan Djärv <jan.h.d@swipnet.se>
10789 * term/common-win.el (saved-region-selection): Defvar it.
10790 (x-select-text): Set saved-region-selection (Bug#16382).
10792 2014-01-18 Glenn Morris <rgm@gnu.org>
10794 * emacs-lisp/authors.el (authors-aliases)
10795 (authors-renamed-files-alist): Add some entries.
10797 2014-01-17 Michael Albinus <michael.albinus@gmx.de>
10799 * net/tramp.el (tramp-password-prompt-regexp):
10800 Use `password-word-equivalents' if available.
10801 (tramp-action-password, tramp-process-one-action)
10802 (tramp-read-passwd): Let-bind `case-fold-search' to t. (Bug#13124)
10804 2014-01-17 Chong Yidong <cyd@gnu.org>
10806 * simple.el (password-word-equivalents): New defcustom.
10807 * comint.el (comint-password-prompt-regexp): Use it. Bump version
10809 (comint-watch-for-password-prompt): Let-bind `case-fold-search'
10812 2014-01-17 Dmitry Gutov <dgutov@yandex.ru>
10814 * progmodes/ruby-mode.el (ruby-alignable-keywords): New constant.
10815 (ruby-align-to-stmt-keywords): Change the default value.
10816 Use `ruby-alignable-keywords' to generate the possible customization
10818 (ruby-smie-rules): Instead of using a hardcoded list of alignable
10819 keywords, check against the value of `ruby-alignable-keywords'
10820 (http://lists.gnu.org/archive/html/emacs-devel/2014-01/msg01439.html).
10822 2014-01-17 Glenn Morris <rgm@gnu.org>
10824 * emacs-lisp/authors.el (authors-aliases): Remove unnecessary entries.
10826 Make M-x authors return zero *Authors Errors* from current logs.
10827 * emacs-lisp/authors.el (authors-obsolete-files-regexps)
10828 (authors-ignored-files): Add some entries, remove others.
10829 (authors-ambiguous-files, authors-valid-file-names):
10831 (authors-renamed-files-alist): Add, remove, and adjust entries.
10832 (authors-renamed-files-regexps): Add some entries.
10833 Remove some very broad ones. Make some entries `lax'.
10834 (authors-lax-changelogs): New constant.
10835 (authors-disambiguate-file-name): Treat top-level specially.
10836 (authors-lax-changelog-p): New function.
10837 (authors-canonical-file-name): Check file as written against
10838 authors-valid-file-names. Do not special-case etc/.
10839 Handle `lax' logs and authors-renamed-files-regexps elements.
10841 2014-01-16 Dmitry Gutov <dgutov@yandex.ru>
10843 * emacs-lisp/package.el (package-desc--keywords): Use `cdr' with
10844 `assoc'. Use `nth' instead of `cdr'. Make private. Update all
10847 2014-01-16 Stefan Monnier <monnier@iro.umontreal.ca>
10849 * follow.el (follow-adjust-window): Remove `dest' argument (bug#16426).
10850 Assume we're already in the proper buffer.
10851 Inspired by Anders Lindgren <andlind@gmail.com>.
10852 (follow-post-command-hook): Call it from the right buffer.
10853 (follow-comint-scroll-to-bottom): Adjust call.
10854 (follow-all-followers): Use get-buffer-window-list.
10856 2014-01-15 Daniel Colascione <dancol@dancol.org>
10858 * emacs-lisp/bytecomp.el (byte-compile-file): Use whole
10859 `buffer-file-name' in interactive-form so that we don't leave
10860 pathless file names in `file-name-history'.
10862 2014-01-15 Juri Linkov <juri@jurta.org>
10864 * indent.el (indent-rigidly): Set deactivate-mark to nil
10865 in transient indentation mode. (Bug#16438)
10867 2014-01-15 Dmitry Gutov <dgutov@yandex.ru>
10869 * emacs-lisp/package.el (package-desc-keywords): New function
10871 (describe-package-1, package-all-keywords)
10872 (package--has-keyword-p): Use it.
10874 2014-01-14 Nicolas Richard <theonewiththeevillook@yahoo.fr>
10876 * simple.el (define-alternatives): When creating the
10877 COMMAND-alternatives variable, assign COMMAND as its definition
10878 name so that `describe-variable' can relocate it.
10880 2014-01-14 Matthew Leach <matthew@mattleach.net>
10882 * font-lock.el (font-lock-keywords): Fix typo in docstring
10885 2014-01-14 Agustín Martín Domingo <agustin.martin@hispalinux.es>
10887 * textmodes/ispell.el (ispell-region): Reset `in-comment' for new
10888 line instead of wrongly reset `add-coment' (bug#13577).
10890 2014-01-14 Daiki Ueno <ueno@gnu.org>
10892 * epa-file.el (epa-file-write-region): Encode the region according
10893 to `buffer-file-format'. Problem reported at:
10894 <http://sourceforge.jp/ticket/browse.php?group_id=2267&tid=32917>.
10896 2014-01-14 Stefan Monnier <monnier@iro.umontreal.ca>
10898 * emacs-lisp/edebug.el (edebug--display): Move protective let-binding
10899 so it applies in the right buffer (bug#16410).
10901 2014-01-13 Daniel Colascione <dancol@dancol.org>
10903 * textmodes/rst.el (rst-define-key): Provide deprecated
10904 keybindings through named functions instead of anonymous ones so
10905 that "??" doesn't appear in describe-mode output.
10907 2014-01-13 Bastien Guerry <bzg@gnu.org>
10909 * simple.el (define-alternatives): Call the selected command
10910 interactively. When setting `COMMAND--implementation' for the
10911 first time, tell the user how to chose another implementation.
10912 Enhance the docstring.
10914 2014-01-13 Stefan Monnier <monnier@iro.umontreal.ca>
10916 * vc/log-edit.el: Fix highlighting of summary when it's the first line.
10917 (log-edit--match-first-line): New function.
10918 (log-edit-font-lock-keywords): Use it.
10919 (log-edit-mode): Make jit-lock-defer-multiline work.
10921 2014-01-13 Bastien Guerry <bzg@gnu.org>
10923 * rect.el (rectangle-mark-mode): When the region is not active,
10924 display a message saying that the mark as been set and that
10925 rectangle mode is in use.
10926 (rectangle--highlight-for-redisplay): Only put an overlay with a
10927 visible vertical bar when (display-graphic-p) is non-nil.
10928 This partially fixes Bug#16403.
10930 2014-01-13 Juri Linkov <juri@jurta.org>
10932 * info.el (Info-find-file): Go to DIR before displaying the error
10933 about a nonexistent file if no previous Info file is visited.
10934 Use `user-error' instead of `error' for "Info file %s does not exist".
10935 (Info-find-node-2): In case of a nonexistent node in unwind forms
10936 go to the Top node if there is no previous node to revert to.
10939 2014-01-13 Martin Rudalics <rudalics@gmx.at>
10941 fit-frame/window-to-buffer code fixes including one for Bug#14096.
10942 * window.el (fit-frame-to-buffer): Fix doc-string.
10943 Respect window-min-height/-width. Fit pixelwise when
10944 frame-resize-pixelwise is non-nil. Adjust right/bottom edge
10945 when avoiding that frame goes partially off-screen.
10946 (fit-window-to-buffer): Respect window-min-height/-width
10949 2014-01-13 Stefan Monnier <monnier@iro.umontreal.ca>
10951 * indent.el (indent-according-to-mode): Flush to column 0 in text-mode
10952 after an empty line.
10954 2014-01-12 Stefan Monnier <monnier@iro.umontreal.ca>
10956 * net/shr.el (shr-render-region): Autoload.
10958 2014-01-12 Xue Fuqiao <xfq.free@gmail.com>
10960 * net/eww.el (eww-download-directory): Rename from
10961 `eww-download-path' (Bug#16419).
10963 2014-01-12 Leo Liu <sdl.web@gmail.com>
10965 * dired-x.el (dired-mode-map): Fix last change.
10967 * emacs-lisp/eldoc.el (eldoc-mode): Add hook locally.
10969 2014-01-12 Paul Eggert <eggert@cs.ucla.edu>
10972 * emacs-lisp/generic.el (generic--normalize-comments):
10973 Rename from generic--normalise-comments. All uses changed.
10974 * play/bubbles.el (bubbles--neighborhood-score)
10975 (bubbles--mark-direct-neighbors, bubbles--mark-neighborhood)
10976 (bubbles--neighborhood-available)
10977 (bubbles--update-neighborhood-score):
10978 Rename from names with 'neighbourhood'. All uses changed.
10980 2014-01-12 Leo Liu <sdl.web@gmail.com>
10982 Re-implement the feature of showing eldoc info after editing.
10983 * emacs-lisp/eldoc.el (eldoc-post-insert-mode): Remove.
10984 (eldoc-edit-message-commands): New function.
10985 (eldoc-print-after-edit): New variable.
10986 (eldoc-pre-command-refresh-echo-area): Emit message only by
10987 eldoc-message-commands.
10988 (eldoc-mode): Restrict eldoc-message-commands to editing commands
10989 if eldoc-print-after-edit is set. (Bug#16346)
10990 * simple.el (read--expression): Enable eldoc-mode.
10991 * progmodes/octave.el (octave-mode-menu): Adapt to change in eldoc.
10993 2014-01-11 Dani Moncayo <dmoncayo@gmail.com>
10994 Eric S. Raymond <esr@thyrsus.com>
10996 * version.el (emacs-repository-get-version): Enhance so the
10997 function works correctly in either a Bazaar or Git repo.
10999 2014-01-11 Eric S. Raymond <esr@thyrsus.com>
11001 * play/meese.el: It's 2014 and Ed Meese is justly forgotten.
11002 Goes with removal of the joke manpages from /etc.
11004 2014-01-10 Kenichi Handa <handa@gnu.org>
11006 * mail/rmail.el (rmail-get-coding-system):
11007 Check rmail-get-coding-function before "funcall"ing it.
11009 2014-01-10 Glenn Morris <rgm@gnu.org>
11011 * emacs-lisp/authors.el (authors-fixed-entries):
11012 Update for files that no longer exist.
11014 2014-01-10 Eric S. Raymond <esr@thyrsus.com>
11016 * version.el (emacs-bzr-get-version): Restore compatibilty with
11019 2014-01-10 Bozhidar Batsov <bozhidar@batsov.com>
11021 * progmodes/ruby-mode.el (auto-mode-alist): Add .podspec
11024 2014-01-10 Eli Zaretskii <eliz@gnu.org>
11026 * emacs-lisp/authors.el (authors-fixed-entries): Update my entry.
11028 2014-01-10 Chong Yidong <cyd@gnu.org>
11030 * progmodes/octave.el (octave-mode-menu): Don't assume eldoc is loaded.
11032 2014-01-10 Anders Lindgren <andlind@gmail.com>
11034 * follow.el (follow-cache-command-list): Include right-char and
11037 2014-01-10 Paul Eggert <eggert@cs.ucla.edu>
11040 * mail/unrmail.el (unrmail-mbox-format): Choice is mboxo, not mboxro.
11041 * woman.el (woman-mark-horizontal-position):
11042 Rename from woman-mark-horizonal-position. Use changed.
11044 2014-01-10 Glenn Morris <rgm@gnu.org>
11046 * info.el (info-initialize): If running uninstalled, ensure our
11047 own info files are always found first, even if INFOPATH is set.
11049 * help.el (view-order-manuals): Open emacs.info rather than ORDERS.
11051 2014-01-09 David Engster <deng@randomsample.de>
11053 * emacs-lisp/eieio-custom.el:
11054 * emacs-lisp/eieio-opt.el: Set generated autoload file to
11055 'eieio.el'. This was accidentally removed in 2012-10-01T18:10:29Z!cyd@gnu.org.
11056 * emacs-lisp/eieio.el: Regenerate autoloads.
11058 2014-01-09 Eric S. Raymond <esr@thyrsus.com>
11060 * vc/vc-git.el (vc-git-print-log): Add --follow option to command,
11061 following renames. (Bug#8756)
11063 2014-01-09 Stefan Monnier <monnier@iro.umontreal.ca>
11065 * simple.el (deactivate-mark, activate-mark): Force-mode-line-update
11067 (activate-mark): Add `no-tmm' argument.
11068 (set-mark, push-mark-command): Use it instead of running
11069 activate-mark-hook by hand.
11071 2014-01-08 Eric S. Raymond <esr@thyrsus.com>
11073 In preparation for the move to git, sanitize out some
11074 Bazaar-specific names.
11076 * emacs-lisp/authors.el: INSTALL.BZR renamed to INSTALL.REPO.
11078 * version.el (emacs-bzr-version): Name changed to
11079 emacs-repository-version. Obsolete-variable alias made.
11080 * loadup.el: Follow through on this name change.
11081 * mail/emacsbug.el (report-emacs-bug): Factor out any
11082 assumption about the version control system in use.
11084 2014-01-08 David Engster <deng@randomsample.de>
11086 * help-fns.el (help-fns-describe-function-functions):
11087 New variable to call functions for augmenting help buffers.
11088 (describe-function-1): Remove explicit calls to
11089 `help-fns--compiler-macro', `help-fns--parent-mode' and
11090 `help-fns--obsolete'. Put them in above new variable instead, and
11091 call them through `run-hook-with-args'.
11092 * emacs-lisp/eieio-opt.el (eieio-help-class): Rename from
11093 `eieio-describe-class'. Not meant for interactive use anymore,
11094 but to augment existing help buffers. Remove optional second
11095 argument. Create proper button for file location.
11096 Rewrite function to use `insert' instead of `princ' and `prin1' where
11098 (eieio-help-class-slots): Rename from `eieio-describe-class-slots'.
11099 (eieio-method-def, eieio-class-def): Move further up.
11100 (describe-method, describe-generic, eieio-describe-method):
11102 (eieio-help-constructor, eieio-help-generic): Rename from
11103 `eieio-describe-constructor' and `eieio-describe-generic', resp.
11104 Rewrite to use `insert' in the current buffer and use proper help
11106 (eieio-help-find-method-definition)
11107 (eieio-help-find-class-definition): Also accept symbols as
11109 (eieio-help-mode-augmentation-maybee): Remove.
11110 (eieio-describe-class-sb): Use `describe-function'.
11111 * emacs-lisp/eieio.el (help-fns-describe-function-functions):
11112 Add `eieio-help-generic' and `eieio-help-constructor'.
11114 2014-01-08 Paul Eggert <eggert@cs.ucla.edu>
11117 * language/china-util.el (hz-ascii-designation):
11118 Rename from hz-ascii-designnation.
11119 (hz-ascii-designation): Rename from hz-ascii-designnation.
11122 2014-01-08 Stefan Monnier <monnier@iro.umontreal.ca>
11124 * emacs-lisp/package.el (package-delete): Only remove pkg-desc from
11127 2014-01-08 Bastien Guerry <bzg@gnu.org>
11129 * emacs-lisp/package.el (package-delete):
11130 Correctly delete the package from package-alist.
11132 2014-01-08 Daiki Ueno <ueno@gnu.org>
11134 * emacs-lisp/package.el (url-recreate-url): Declare.
11135 (url-http-target-url): Declare.
11136 (package-handle-response): Include requested URL in the error message.
11137 (package--check-signature): Don't re-signal errors from
11138 package--with-work-buffer. Suggested by Stefan Monnier.
11140 2014-01-07 Bastien Guerry <bzg@gnu.org>
11142 * minibuffer.el (completion--try-word-completion): When both a
11143 hyphen and a space are possible candidates for the character
11144 following a word, display both candidates. (Bug#15980)
11146 2014-01-07 Martin Rudalics <rudalics@gmx.at>
11148 * window.el (balance-windows-2): While rounding don't give a
11149 window more than the remainder. Bug#16351, bug#16383.
11151 2014-01-07 Glenn Morris <rgm@gnu.org>
11153 * menu-bar.el (menu-bar-help-extra-packages): Remove.
11154 (menu-bar-help-menu): Use view-external-packages instead.
11156 2014-01-07 Bastien Guerry <bzg@gnu.org>
11158 * emacs-lisp/package.el (package-delete): Also delete the package
11159 name from `package-alist', not its description only.
11161 2014-01-07 Glenn Morris <rgm@gnu.org>
11163 * help.el (view-external-packages):
11164 * menu-bar.el (menu-bar-help-extra-packages):
11165 Visit efaq.info rather than etc/MORE.STUFF.
11167 2014-01-07 Juri Linkov <juri@jurta.org>
11169 * isearch.el (isearch-mode-map): Bind [return] and [backspace] to
11170 isearch-exit and isearch-delete-char resp. (Bug#16342, bug#16035)
11172 * progmodes/ps-mode.el (ps-mode-map): Remove [return] key binding
11173 that shadows RET. (Bug#16342)
11175 2014-01-07 Chong Yidong <cyd@gnu.org>
11177 * isearch.el (isearch-yank-char, isearch-yank-word)
11178 (isearch-yank-line): Doc fix.
11180 2014-01-06 Stefan Monnier <monnier@iro.umontreal.ca>
11182 * abbrev.el (define-abbrev): Beware new meaning of fboundp.
11183 * emacs-lisp/elint.el (elint-find-builtins):
11184 * emacs-lisp/eldoc.el (eldoc-symbol-function):
11185 * emacs-lisp/bytecomp.el (byte-compile-callargs-warn)
11186 (byte-compile-file-form-defmumble, byte-compile, byte-compile-form):
11187 * emacs-lisp/byte-opt.el (byte-compile-inline-expand):
11188 * apropos.el (apropos-safe-documentation):
11189 * subr.el (symbol-file): Remove redundant fboundp.
11190 * progmodes/idlw-shell.el (idlwave-shell-comint-filter): Use defalias.
11192 2014-01-06 Bastien Guerry <bzg@gnu.org>
11194 * hl-line.el (global-hl-line-overlay): Make a local variable.
11195 (global-hl-line-overlays): New variable to store all overlays.
11196 (global-hl-line-mode): Don't delete overlays from the current
11197 buffer when `global-hl-line-sticky-flag' is non-nil.
11198 (global-hl-line-highlight): Add new overlays to
11199 `global-hl-line-overlays'.
11200 (global-hl-line-unhighlight-all): New function to delete all
11201 overlays when turning off `global-hl-line-mode'.
11202 This fixes Bug#16183.
11204 2014-01-06 Stefan Monnier <monnier@iro.umontreal.ca>
11206 * subr.el (set-transient-map): Fix nested case and docstring.
11208 2014-01-06 Tassilo Horn <tsdh@gnu.org>
11210 * textmodes/reftex-vars.el (reftex-label-alist-builtin): Add a
11213 2014-01-06 Daniel Colascione <dancol@dancol.org>
11215 Fix defun navigation in vc log view.
11217 * vc/log-view.el (log-view-beginning-of-defun): Rewrite to behave
11218 like `beginning-of-defun'.
11219 (log-view-end-of-defun, log-view-end-of-defun-1): Rename old
11220 log-view-end-of-defun to log-view-end-of-defun-1. Replace
11221 log-view-end-of-defun with wrapper that behaves like `end-of-defun'.
11222 (log-view-extract-comment): Call `log-view-current-entry' directly
11223 instead of relying on broken `log-view-beginning-of-defun' behavior.
11225 2014-01-06 Paul Eggert <eggert@cs.ucla.edu>
11228 * calc/calc-yank.el (calc-edit-mode, calc-edit-cancel):
11229 * emacs-lisp/debug.el (cancel-debug-on-entry):
11230 * epg.el (epg-error-to-string):
11231 * files.el (recover-file):
11232 * lpr.el (lpr-buffer, print-buffer, lpr-region, print-region):
11233 * mail/emacsbug.el (report-emacs-bug-hook):
11234 * mail/sendmail.el (mail-recover):
11235 * ses.el (ses-yank-resize):
11236 * term/ns-win.el (ns-print-buffer):
11237 Spelling fixes in diagnostics, mostly for "canceled" with one L.
11238 * epg.el (epg-key-capability-alist): Rename from misspelled version.
11240 * obsolete/xesam.el (xesam-all-fields): Fix misspelled field name.
11242 2014-01-06 Leo Liu <sdl.web@gmail.com>
11244 * dired-x.el (dired-mode-map): Rebind dired-omit-mode to C-x M-o
11245 to avoid shadowing global key. (Bug#16354)
11247 2014-01-06 Daniel Colascione <dancol@dancol.org>
11249 * textmodes/rst.el (rst-mode): Set electric-indent-inhibit for
11252 2014-01-05 Martin Rudalics <rudalics@gmx.at>
11254 * window.el (balance-windows): Add mising t to fix Bug#16351.
11256 2014-01-05 Lars Magne Ingebrigtsen <larsi@gnus.org>
11258 * net/shr.el (shr-descend): Don't bug out if the anchor is empty
11260 (shr-insert): If we have a word that's longer than `shr-width',
11261 break after it anyway. Otherwise we'll do no breaking once we get
11264 2014-01-05 Kenjiro NAKAYAMA <nakayamakenjiro@gmail.com>
11266 * net/eww.el (eww): Support single/double quote for search.
11267 * net/eww.el (eww-list-histories, eww-history-browse): Fixup.
11268 (eww-history-quit): Delete and use quit-window.
11269 (eww-history-kill): Delete, because it doesn't work well and
11271 (eww-history-mode-map): Delete some keys and add easy-menu.
11273 2014-01-05 Paul Eggert <eggert@cs.ucla.edu>
11275 Fix misspelling of 'chinese' in rx (Bug#16237).
11276 * emacs-lisp/rx.el (rx-categories): Correct spelling of
11279 Change subword regexps back to vars (Bug#16296).
11280 * progmodes/subword.el (subword-forward-regexp)
11281 (subword-backward-regexp): Change these back to variables.
11283 2014-01-03 Stefan Monnier <monnier@iro.umontreal.ca>
11285 * emacs-lisp/lisp-mode.el (lisp-mode-variables): Don't bother with
11286 syntax-begin-function (bug#16247).
11288 2014-01-03 Chong Yidong <cyd@gnu.org>
11290 * emacs-lisp/nadvice.el (advice--make-docstring): Change args.
11291 (advice--docstring): Delete variable.
11292 (advice--make-1): Leave the docstring empty.
11293 (advice-add): Use function-documentation for advised docstring.
11295 * emacs-lisp/advice.el (ad--make-advised-docstring): Change args.
11296 Ignore function-documentation property when getting documentation.
11297 (ad-activate-advised-definition): Use function-documentation
11298 generate the docstring.
11299 (ad-make-advised-definition): Don't call
11300 ad-make-advised-definition-docstring.
11301 (ad-make-advised-definition-docstring, ad-advised-definition-p):
11304 * progmodes/sql.el (sql-help): Use function-documentation instead
11305 of dynamic-docstring-function property. No need to autoload now.
11306 (sql--help-docstring): New variable.
11307 (sql--make-help-docstring): Use it.
11309 2014-01-03 Stefan Monnier <monnier@iro.umontreal.ca>
11311 * ielm.el (ielm-tab): Retarget.
11312 (ielm-map): Use ielm-tab for tab.
11313 (ielm-complete-filename): Use comint-filename-completion.
11314 (ielm-complete-symbol): Remove.
11315 (inferior-emacs-lisp-mode): Use lisp-completion-at-point instead and
11316 remove ielm-tab from completion-at-point-functions (bug#16224).
11318 * emacs-lisp/pcase.el (pcase--split-equal, pcase--split-member):
11319 Beware signals raised by predicates (bug#16201).
11321 2014-01-02 Richard Stallman <rms@gnu.org>
11323 * dired-aux.el (dired-do-print): Handle printer-name.
11325 * mail/rmailmm.el (rmail-mime-message-p): Move to rmail.el.
11326 * mail/rmail.el (rmail-mime-message-p): Move from rmailmm.el.
11327 (rmail-epa-decrypt): Turn off mime processing.
11329 * mail/rmail.el (rmail-make-in-reply-to-field):
11330 Add parens in message-id.
11332 * mail/rmail.el (rmail-get-coding-function): Variable.
11333 (rmail-get-coding-system): Use it.
11335 2013-12-31 Eli Zaretskii <eliz@gnu.org>
11337 * international/mule-conf.el: Unify the charset indian-is13194.
11338 (indian-is13194): Specify unify-map.
11340 2013-12-31 Leo Liu <sdl.web@gmail.com>
11342 * subr.el (set-temporary-overlay-map): Obsolete alias. (Bug#16305)
11344 2013-12-30 Daniel Colascione <dancol@dancol.org>
11346 * term/x-win.el ([XF86WakeUp]): Ignore the XF86WakeUp key instead
11347 of printing a useless when we resume from sleep.
11349 * progmodes/sh-script.el
11350 (sh-smie-sh-forward-token, sh-smie-rc-forward-token): Fix infloop
11351 in indentation code. (Bug#16233)
11353 2013-12-28 João Távora <joaotavora@gmail.com>
11355 * elec-pair.el (electric-pair-post-self-insert-function):
11356 Don't open extra newlines at beginning of buffer. (Bug#16272)
11358 2013-12-28 Eli Zaretskii <eliz@gnu.org>
11360 * frame.el (window-system-for-display): Don't allow to create a
11361 GUI frame from a -nw session on MS-Windows. (Bug#14739)
11363 2013-12-28 Glenn Morris <rgm@gnu.org>
11365 * mail/hashcash.el (hashcash-program): Rename from hashcash-path.
11368 * apropos.el (apropos-match-face):
11369 * calculator.el (calculator-displayer):
11370 * dabbrev.el (dabbrev-search-these-buffers-only):
11371 * face-remap.el (buffer-face-mode-face):
11372 * simple.el (yank-handled-properties):
11373 * emacs-lisp/testcover.el (testcover-potentially-1value-functions):
11374 * mail/footnote.el (footnote-mode-line-string, footnote-prefix):
11375 * mail/hashcash.el (hashcash-accept-resources, hashcash-program)
11376 (hashcash-double-spend-database):
11377 * progmodes/ruby-mode.el (ruby-deep-indent-paren)
11378 (ruby-deep-indent-paren-style):
11379 * textmodes/flyspell.el (flyspell-auto-correct-binding):
11380 * textmodes/rst.el (rst-toc-indent, rst-toc-insert-style)
11381 (rst-toc-insert-number-separator, rst-toc-insert-max-level):
11382 * vc/pcvs-defs.el (cvs-minor-mode-prefix):
11383 Specify custom types.
11385 * emacs-lisp/smie.el (smie-config): Add type, version, initialize.
11386 * bookmark.el (bookmark-bmenu-use-header-line):
11387 * doc-view.el (doc-view-scale-internally):
11388 * pcmpl-x.el (pcmpl-x-tlmgr-program, pcmpl-x-ack-program):
11389 * register.el (register-preview-delay):
11390 * net/shr.el (shr-bullet):
11391 * progmodes/cfengine.el (cfengine-cf-promises)
11392 (cfengine-parameters-indent):
11393 * progmodes/octave.el (inferior-octave-error-regexp-alist):
11394 * textmodes/reftex-vars.el (reftex-label-regexps):
11395 * vc/log-edit.el (log-edit-setup-add-author): Add version.
11397 * net/tls.el (tls-certtool-program): Fix default value.
11399 * desktop.el (desktop-restore-in-current-display):
11400 * newcomment.el (comment-empty-lines):
11401 * progmodes/idlwave.el (idlwave-scan-all-buffers-for-routine-info)
11402 (idlwave-pad-keyword):
11403 * progmodes/tcl.el (tcl-tab-always-indent):
11404 * textmodes/reftex-vars.el (reftex-index-default-tag):
11405 * elec-pair.el (electric-pair-skip-whitespace):
11406 * progmodes/cfengine.el (cfengine-cf-promises): Fix custom types.
11408 * emacs-lisp/authors.el (authors-ignored-files)
11409 (authors-valid-file-names, authors-renamed-files-alist): Additions.
11411 2013-12-27 Jarek Czekalski <jarekczek@poczta.onet.pl>
11413 * shell.el (shell-dynamic-complete-command): Doc fix.
11414 (shell--command-completion-data): Shell completion now matches
11415 executable filenames from the current buffer's directory, on
11416 systems in which this behavior is the default (windows-nt, ms-dos).
11418 2013-12-27 Lars Ingebrigtsen <larsi@gnus.org>
11420 * net/shr.el (shr-insert): Don't infloop if the width is zero.
11422 2013-12-27 Stefan Monnier <monnier@iro.umontreal.ca>
11424 * icomplete.el (icomplete-show-matches-on-no-input): Default to nil
11427 * electric.el: Move all electric-pair-* to elec-pair.el.
11428 * elec-pair.el: New file, split from electric.el.
11430 2013-12-27 Lars Ingebrigtsen <larsi@gnus.org>
11432 * net/shr.el (shr-find-fill-point): Don't try to fill if the
11433 indentation level is larger than the width, because that will
11435 (shr-insert): Fill repeatedly long texts, so that Japanese is
11436 formatted correctly (bug#16263).
11437 (shr-find-fill-point): Off by one error in comparison with the
11440 2013-12-26 João Távora <joaotavora@gmail.com>
11442 * electric.el (electric-pair-mode): More flexible engine for skip-
11443 and inhibit predicates, new options for pairing-related functionality.
11444 (electric-pair-preserve-balance): Pair/skip parentheses and quotes
11445 if that keeps or improves their balance in buffers.
11446 (electric-pair-delete-adjacent-pairs): Delete the pair when
11447 backspacing over adjacent matched delimiters.
11448 (electric-pair-open-extra-newline): Open extra newline when
11449 inserting newlines between adjacent matched delimiters.
11450 (electric--sort-post-self-insertion-hook):
11451 Sort post-self-insert-hook according to priority values when
11452 minor-modes are activated.
11453 * simple.el (newline-and-indent): Call newline with interactive
11455 (blink-paren-post-self-insert-function): Set priority to 100.
11456 * emacs-lisp/lisp-mode.el (lisp-mode-variables):
11457 Use electric-pair-text-pairs to pair backtick-and-quote in strings and
11458 comments. Locally set electric-pair-skip-whitespace to 'chomp and
11459 electric-pair-open-newline-between-pairs to nil.
11461 2013-12-26 Fabián Ezequiel Gallina <fgallina@gnu.org>
11463 * progmodes/python.el: Use lexical-binding.
11464 (python-nav-beginning-of-defun): Stop searching ASAP.
11466 2013-12-25 Xue Fuqiao <xfq.free@gmail.com>
11468 * vc/vc.el (vc-ignore): Use `vc-responsible-backend'.
11469 Fix interactive spec. Doc fix. (Bug#15754)
11471 2013-12-25 Katsumi Yamaoka <yamaoka@jpl.org>
11473 * emacs-lisp/byte-run.el (eval-when-compile):
11474 * progmodes/cc-defs.el (cc-eval-when-compile):
11475 Fix edebug spec (bug#16184).
11477 2013-12-25 Lars Ingebrigtsen <larsi@gnus.org>
11479 * net/shr.el (shr-visit-file): Remove debugging function.
11480 (shr-insert): Don't infloop if we can't find a good place to break
11481 the line (bug#16256).
11483 2013-12-25 Fabián Ezequiel Gallina <fgallina@gnu.org>
11485 * progmodes/python.el (python-nav--lisp-forward-sexp): New function.
11486 (python-nav--lisp-forward-sexp-safe): Use it. Rename from
11487 python-nav-lisp-forward-sexp-safe.
11488 (python-nav--forward-sexp): New argument SAFE allows switching
11489 forward sexp movement behavior for parens.
11490 (python-nav-forward-sexp): Throw errors on unterminated parens
11492 (python-nav-backward-sexp, python-nav-forward-sexp-safe)
11493 (python-nav-backward-sexp-safe): New functions.
11494 (python-shell-buffer-substring):
11495 Use `python-nav-forward-sexp-safe'.
11497 2013-12-25 Lars Ingebrigtsen <larsi@gnus.org>
11499 * net/shr.el (shr-find-fill-point): Don't break lines before a
11501 (shr-char-kinsoku-bol-p): The quotation mark isn't a kinsoky BOL char.
11502 (shr-find-fill-point): Remove the special checks for the quotation
11503 mark, since `shr-char-kinsoku-bol-p' should now return the right thing.
11505 2013-12-25 Kenjiro NAKAYAMA <nakayamakenjiro@gmail.com>
11507 * net/eww.el (eww-form-textarea): Use a different face for
11508 textareas than text input since they have different keymaps
11511 2013-12-24 Fabián Ezequiel Gallina <fgallina@gnu.org>
11513 * progmodes/python.el (python-nav-beginning-of-statement):
11514 Speed up (Bug#15295).
11516 2013-12-24 Lars Ingebrigtsen <larsi@gnus.org>
11518 * net/eww.el (eww-bookmark-browse): Use `quit-window' to restore
11519 the window configuration.
11521 2013-12-24 Eli Zaretskii <eliz@gnu.org>
11523 * net/eww.el (eww-open-file): Ensure 3 slashes after "file:" when
11524 we run on MS-Windows or MS-DOS.
11526 2013-12-24 Martin Rudalics <rudalics@gmx.at>
11528 * window.el (balance-windows-area): Call window-size instead of
11529 window-height and window-width. Bug#16241.
11531 2013-12-24 Lars Ingebrigtsen <larsi@gnus.org>
11533 * net/eww.el (eww-bookmark-quit): Remove.
11534 (eww-bookmark-browse): Restore the window configuration when you
11535 choose a bookmark (bug#16144).
11537 2013-12-24 Daniel Colascione <dancol@dancol.org>
11539 * icomplete.el: Remove redundant :group arguments to `defcustom'
11541 (icomplete-show-matches-on-no-input): New customizable variable.
11542 (icomplete-minibuffer-setup): Call `icomplete-exhibit' on setup if
11543 we have something to show.
11544 (icomplete-exhibit): Compute completions even if we have no user input.
11546 2013-12-23 Daniel Colascione <dancol@dancol.org>
11548 * icomplete.el: Move `provide' to end of file.
11550 2013-12-23 Teodor Zlatanov <tzz@lifelogs.com>
11552 * net/gnutls.el (gnutls-verify-error): Add version tag.
11554 2013-12-23 Chong Yidong <cyd@gnu.org>
11556 * subr.el (set-transient-map): Rename from
11557 set-temporary-overlay-map. Doc fix.
11559 * face-remap.el (text-scale-adjust):
11560 * indent.el (indent-rigidly):
11561 * kmacro.el (kmacro-call-macro):
11562 * minibuffer.el (minibuffer-force-complete):
11563 * repeat.el (repeat):
11564 * simple.el (universal-argument--mode):
11565 * calendar/todo-mode.el (todo-insert-item--next-param):
11566 * progmodes/f90.el (f90-abbrev-start): Callers changed.
11568 * indent.el (indent-rigidly): Use substitute-command-keys.
11570 2013-12-22 Kenjiro NAKAYAMA <nakayamakenjiro@gmail.com>
11572 * net/eww.el (eww-tag-select): Add text-property to jump to next
11574 (eww): Add non-supported ftp error.
11576 2013-12-22 Dmitry Gutov <dgutov@yandex.ru>
11578 * progmodes/ruby-mode.el (ruby--electric-indent-p): Improve the
11579 comments. Handle electric indent after typing `?' and `!'.
11581 2013-12-22 Chong Yidong <cyd@gnu.org>
11583 * faces.el (face-spec-recalc): If the theme specs are not
11584 applicable to a frame, fall back on the defface spec.
11585 This prevents themes from obliterating faces on low-color terminals.
11587 2013-12-22 Dmitry Gutov <dgutov@yandex.ru>
11589 * progmodes/ruby-mode.el (ruby-smie--implicit-semi-p): Return t
11590 after `{'. We need it after block openers, and it doesn't seem
11591 to hurt after hash openers.
11593 2013-12-22 Dmitry Gutov <dgutov@yandex.ru>
11595 * progmodes/ruby-mode.el (ruby--at-indentation-p): New function,
11596 extracted from `ruby-smie-rules'.
11597 (ruby--electric-indent-chars): New variable.
11598 (ruby--electric-indent-p): New function.
11599 (ruby-mode): Use `electric-indent-functions' instead of
11600 `electric-indent-chars'.
11602 2013-12-22 Dmitry Gutov <dgutov@yandex.ru>
11604 * progmodes/ruby-mode.el (ruby-align-to-stmt-keywords): Tweak the
11606 (ruby-smie-rules): Indent plus one level after `=>'.
11608 2013-12-21 Richard Stallman <rms@gnu.org>
11610 * simple.el (newline): Doc fix.
11612 2013-12-21 Kenjiro NAKAYAMA <nakayamakenjiro@gmail.com>
11614 * net/eww.el (eww-list-histories, eww-list-histories)
11615 (eww-history-browse, eww-history-quit, eww-history-kill)
11616 (eww-history-mode-map, eww-history-mode): New command and
11617 functions to list browser histories.
11618 (eww-form-text): Support text form with disabled
11619 and readonly attributes.
11620 (eww-checkbox-map): Fix wrong key bind to `eww-toggle-checkbox'.
11622 2013-12-21 Rüdiger Sonderfeld <ruediger@c-plusplus.de>
11624 * net/eww.el (eww-back-url, eww-forward-url, eww-next-url)
11625 (eww-previous-url, eww-up-url, eww-top-url, eww-add-bookmark)
11626 (eww-bookmark-prepare, eww-bookmark-kill, eww-bookmark-yank)
11627 (eww-bookmark-browse, eww-next-bookmark, eww-previous-bookmark):
11629 (eww-bookmark-mode-map): Add menu.
11630 (eww-render, eww-mode): Use `setq-local'.
11631 (eww-tool-bar-map): New variable.
11632 (eww-mode): Set `tool-bar-map'.
11633 (eww-view-source): Check for `html-mode' with `fboundp'.
11635 2013-12-21 Lars Magne Ingebrigtsen <larsi@gnus.org>
11637 * net/shr.el (shr--extract-best-source): Don't bug out on audio
11638 elements with text inside. Also remove debugging.
11640 2013-12-21 Jan Djärv <jan.h.d@swipnet.se>
11642 * cus-start.el (all): Add ns-use-srgb-colorspace.
11644 2013-12-21 Chong Yidong <cyd@gnu.org>
11646 * custom.el (custom-theme-recalc-face): Do nothing if the face is
11647 undefined. Thus, theme settings for undefined faces do not take
11648 effect until the faces are defined with defface, the same as with
11651 * faces.el (face-spec-set): Use face-spec-recalc in all cases.
11652 (face-spec-reset-face): Don't assign extra properties in temacs.
11653 (face-spec-recalc): Apply X resources too.
11655 2013-12-21 Chong Yidong <cyd@gnu.org>
11657 * faces.el (face-spec-set):
11658 * cus-face.el (custom-theme-set-faces, custom-set-faces):
11659 * custom.el (defface): Doc fixes (Bug#16203).
11661 * indent.el (indent-rigidly-map): Add docstring, and move commands
11662 into named functions.
11663 (indent-rigidly-left, indent-rigidly-right)
11664 (indent-rigidly-left-to-tab-stop)
11665 (indent-rigidly-right-to-tab-stop): New functions. Decide on
11666 indentation direction based on bidi direction, and accumulate
11667 sequential commands in a single undo boundary.
11668 (indent-rigidly--pop-undo): New utility function.
11670 2013-12-20 Juanma Barranquero <lekktu@gmail.com>
11672 * faces.el (read-face-name): Require crm.el when using crm-separator.
11674 2013-12-20 Daniel Colascione <dancol@dancol.org>
11676 * progmodes/sh-script.el (sh-mode): Tweak paragraph-separate
11677 so that we don't reflow comments into the shebang line.
11679 2013-12-20 Juri Linkov <juri@jurta.org>
11681 * saveplace.el (save-place-to-alist): Add `dired-filename' as
11682 a position when `dired-directory' is non-nil. Check integer
11683 positions with `integerp'.
11684 (toggle-save-place, save-places-to-alist): Add check for
11686 (save-place-find-file-hook): Check integer positions with
11688 (save-place-dired-hook): Use `dired-goto-file' when
11689 `dired-filename' is found in the assoc list. Check integer
11690 positions with `integerp'.
11691 (dired-initial-position-hook): Rename from `dired-initial-point-hook'.
11693 * dired.el (dired-initial-position-hook): Rename back from
11694 `dired-initial-point-hook'.
11695 (dired-initial-position): Rename `dired-initial-point-hook' to
11696 `dired-initial-position-hook'.
11697 (dired-file-name-at-point): Doc fix. (Bug#15329)
11699 2013-12-20 Juri Linkov <juri@jurta.org>
11701 * replace.el (read-regexp-defaults-function): New defcustom (bug#14405).
11702 (read-regexp-suggestions): New function.
11703 (read-regexp): Use `read-regexp-defaults-function' to get default values.
11704 Use `read-regexp-suggestions'. Add non-empty default to history
11706 (occur-read-regexp-defaults-function): Remove function.
11707 (occur-read-primary-args): Use `regexp-history-last' instead of
11708 `occur-read-regexp-defaults-function'.
11710 * hi-lock.el (hi-lock-read-regexp-defaults-function): Remove function.
11711 (hi-lock-line-face-buffer, hi-lock-face-buffer)
11712 (hi-lock-face-phrase-buffer): Use `regexp-history-last' instead of
11713 `hi-lock-read-regexp-defaults-function'. Doc fix.
11714 (hi-lock-face-symbol-at-point): Replace `find-tag-default-as-regexp'
11715 with `find-tag-default-as-symbol-regexp'. Doc fix.
11716 (hi-lock-read-regexp-defaults): Remove function.
11717 (hi-lock-regexp-okay): Add check for null.
11719 * progmodes/grep.el (grep-read-regexp): Use `grep-tag-default' for
11720 the arg DEFAULTS. Move formatting of the prompt to `read-regexp'.
11722 * subr.el (find-tag-default-as-symbol-regexp): New function.
11723 (find-tag-default-as-regexp): Move symbol regexp formatting to
11724 `find-tag-default-as-symbol-regexp'.
11726 2013-12-20 E Sabof <esabof@gmail.com> (tiny change)
11728 * hi-lock.el (hi-lock-set-pattern): Check for `font-lock-specified-p'.
11731 2013-12-20 Stephen Berman <stephen.berman@gmx.net>
11733 * calendar/todo-mode.el: New implementation of item insertion
11734 commands and key bindings.
11735 (todo-key-prompt): New face.
11736 (todo-insert-item): New command.
11737 (todo-insert-item--parameters): New defconst, replacing defvar
11738 todo-insertion-commands-args-genlist.
11739 (todo-insert-item--param-key-alist): New defconst, replacing
11740 defvar todo-insertion-commands-arg-key-list.
11741 (todo-insert-item--keyof, todo-insert-item--this-key): New defsubsts.
11742 (todo-insert-item--argsleft, todo-insert-item--apply-args)
11743 (todo-insert-item--next-param): New functions.
11744 (todo-insert-item--args, todo-insert-item--argleft)
11745 (todo-insert-item--argsleft, todo-insert-item--newargsleft):
11747 (todo-key-bindings-t): Change binding of "i" from
11748 todo-insertion-map to todo-insert-item.
11749 (todo-powerset, todo-gen-arglists, todo-insertion-commands-args)
11750 (todo-insertion-command-name, todo-insertion-commands-names)
11751 (todo-define-insertion-command, todo-insertion-commands)
11752 (todo-insertion-key-bindings, todo-insertion-map): Remove.
11754 2013-12-20 Stephen Berman <stephen.berman@gmx.net>
11756 * calendar/todo-mode.el: Bug fixes and new features (bug#15225).
11757 (todo-toggle-item-highlighting): Use eval-and-compile instead of
11759 (todo-move-category): Allow choosing a non-existing todo file to
11760 move the category to, and create that file.
11761 (todo-default-priority): New user option.
11762 (todo-set-item-priority): Use it.
11763 (todo-desktop-save-buffer, todo-restore-desktop-buffer): New functions.
11764 (desktop-restore-file-buffer): Declare.
11765 (desktop-buffer-mode-handlers): Add todo-restore-desktop-buffer.
11766 (todo-modes-set-2): Locally set desktop-save-buffer to
11767 todo-desktop-save-buffer.
11768 (todo-mode, todo-archive-mode, todo-filtered-items-mode)
11769 (auto-mode-alist): Add autoload cookie.
11771 2013-12-20 Bozhidar Batsov <bozhidar@batsov.com>
11773 * emacs-lisp/subr-x.el: Renamed from helpers.el.
11774 helpers.el was a poor choice of name.
11775 (string-remove-prefix): New function.
11776 (string-remove-suffix): New function.
11778 2013-12-20 Martin Rudalics <rudalics@gmx.at>
11780 Fix assignment for new window total sizes.
11781 * window.el (window--pixel-to-size): Remove function.
11782 (window--pixel-to-total-1, window--pixel-to-total):
11783 Fix calculation of new total sizes.
11785 2013-12-20 Vitalie Spinu <spinuvit@gmail.com>
11787 * comint.el (comint-output-filter): Fix rear-nonsticky property
11788 placement (Bug#16010).
11790 2013-12-20 Chong Yidong <cyd@gnu.org>
11792 * faces.el (read-color): Minor fix for completion function.
11794 2013-12-20 Dmitry Gutov <dgutov@yandex.ru>
11796 * progmodes/ruby-mode.el (ruby-align-to-stmt-keywords):
11797 New option. (Bug#16182)
11798 (ruby-smie--indent-to-stmt-p): Use it.
11799 (ruby-smie-rules): Revert the logic in the handling of `when'.
11800 Expand the begin clause to handle `ruby-align-to-stmt-keywords'.
11801 (ruby-deep-arglist, ruby-deep-indent-paren)
11802 (ruby-deep-indent-paren-style): Update docstrings to note that the
11803 vars don't have any effect with SMIE.
11805 2013-12-20 Jay Belanger <jay.p.belanger@gmail.com>
11807 * calc/calc.el (calc-enter, calc-pop): Use the variable
11808 `calc-context-sensitive-enter'.
11810 2013-12-20 Lars Magne Ingebrigtsen <larsi@gnus.org>
11812 * net/shr.el (shr-insert): Protect against infloops in degenerate
11815 2013-12-20 Rüdiger Sonderfeld <ruediger@c-plusplus.de>
11817 * progmodes/octave.el (octave): Add link to manual and octave
11819 (octave-mode-menu): Link to octave-mode manual.
11821 2013-12-20 Leo Liu <sdl.web@gmail.com>
11823 * skeleton.el (skeleton-pair-insert-maybe): Disable newline
11824 insertion using skeleton-end-newline. (Bug#16138)
11826 2013-12-20 Juri Linkov <juri@jurta.org>
11828 * replace.el (occur-engine): Use `add-face-text-property'
11829 to add the face property to matches and titles. (Bug#14645)
11831 * hi-lock.el (hi-green): Use lighter color "light green" closer to
11832 the palette of other hi-lock colors.
11833 (hi-lock-set-pattern): Prepend hi-lock face to the existing face.
11835 2013-12-19 Juri Linkov <juri@jurta.org>
11837 * isearch.el (isearch-mode-map): Bind `M-s e' to `isearch-edit-string'.
11838 Put :advertised-binding on `M-s c', `M-s r', `M-s e'. (Bug#16035)
11839 (minibuffer-history-symbol): Move variable declaration closer to
11842 * isearchb.el (isearchb): Add `event-basic-type' on `last-command-event'.
11845 2013-12-19 Juri Linkov <juri@jurta.org>
11847 * vc/log-edit.el (log-edit-insert-filenames-without-changelog):
11849 (log-edit-hook): Add it to :options. (Bug#16170)
11851 2013-12-19 Juri Linkov <juri@jurta.org>
11853 * simple.el (eval-expression-print-format): Don't check for
11854 command names and the last command. Always display additional
11855 formats of the integer result in the echo area, and insert them
11856 to the current buffer only with a zero prefix arg.
11857 Display character when char-displayable-p is non-nil.
11858 (eval-expression): With a zero prefix arg, set `print-length' and
11859 `print-level' to nil, and insert the integer values from
11860 `eval-expression-print-format' at the end. Doc fix. (Bug#12985)
11862 * emacs-lisp/lisp-mode.el (eval-print-last-sexp): Add arg
11863 `eval-last-sexp-arg-internal'. Doc fix.
11864 (eval-last-sexp-1): Pass arg `eval-last-sexp-arg-internal' to
11865 `eval-last-sexp-print-value'. Doc fix.
11866 (eval-last-sexp-print-value): Add arg `eval-last-sexp-arg-internal'.
11867 Set `print-length' and `print-level' to nil when arg is zero.
11868 (eval-last-sexp): Doc fix.
11869 (eval-defun-2): Print the integer values from
11870 `eval-expression-print-format' at the end.
11872 * emacs-lisp/edebug.el (edebug-eval-defun): Print the integer
11873 values from `eval-expression-print-format' at the end.
11875 * ielm.el (ielm-eval-input): Print the integer
11876 values from `eval-expression-print-format' at the end.
11878 2013-12-19 Teodor Zlatanov <tzz@lifelogs.com>
11880 * net/eww.el (eww-exit, eww-close, eww-mode-map): Revert change of
11881 2013-12-11T19:01:44Z!tzz@lifelogs.com.
11883 2013-12-19 Stefan Monnier <monnier@iro.umontreal.ca>
11885 * hl-line.el (hl-line-make-overlay): New fun. Set priority (bug#16192).
11886 (hl-line-highlight, global-hl-line-highlight): Use it.
11887 (hl-line-overlay): Use defvar-local.
11889 2013-12-19 Jan Djärv <jan.h.d@swipnet.se>
11891 * term/ns-win.el: Require dnd.
11892 (global-map): Remove drag items.
11893 (ns-insert-text, ns-set-foreground-at-mouse)
11894 (ns-set-background-at-mouse):
11895 Remove (ns-drag-n-drop, ns-drag-n-drop-other-frame)
11896 (ns-drag-n-drop-as-text, ns-drag-n-drop-as-text-other-frame):
11899 2013-12-19 Glenn Morris <rgm@gnu.org>
11901 * emacs-lisp/ert.el (ert-select-tests):
11902 Fix string/symbol mixup. (Bug#16121)
11904 2013-12-19 Dmitry Gutov <dgutov@yandex.ru>
11906 * progmodes/ruby-mode.el (ruby-smie-rules): Indent middle-of-block
11907 keywords to their parent.
11909 2013-12-19 Dmitry Gutov <dgutov@yandex.ru>
11911 * progmodes/ruby-mode.el (ruby-smie--args-separator-p): Allow the
11912 first arg to be a string (fixed dead code), or an operator symbol.
11913 (ruby-smie--forward-token): Tokenize ` @ ' before strings and
11915 (ruby-smie-rules): Remove parent token check in the `.' clause, it
11916 did nothing. Don't respond to `(:after ".")', it will be called
11917 with :before anyway. Remove the ` @ ' rule, it didn't seem to
11918 change anything. Only return indentation for binary operators
11919 when they are hanging. De-dent opening paren when its parent is
11920 `.', otherwise it looks bad when the dot is not at bol or eol
11923 2013-12-19 Juri Linkov <juri@jurta.org>
11925 * replace.el (query-replace-read-args): Split a non-negative arg
11926 and a negative arg into separate elements.
11927 (query-replace, query-replace-regexp, replace-string)
11928 (replace-regexp): Add arg `backward'. Doc fix.
11929 (replace-match-maybe-edit): When new arg `backward' is non-nil,
11930 move point to the beginning of the match.
11931 (replace-search, replace-highlight): Use new arg `backward'
11932 to set the value of `isearch-forward'.
11933 (perform-replace): Add arg `backward' and use it to perform
11934 replacement backward. (Bug#14979)
11936 * isearch.el (isearch-query-replace): Use a negative prefix arg
11937 to call `perform-replace' with a non-nil arg `backward'.
11939 2013-12-18 Juri Linkov <juri@jurta.org>
11941 * vc/log-edit.el (log-edit-hook): Add `log-edit-insert-message-template'
11942 to the default list. Move `log-edit-show-files' to the end.
11943 Add more available functions to options.
11944 (log-edit): Move default specific settings to
11945 `log-edit-insert-message-template'. Don't move point.
11946 (log-edit-insert-message-template): New function.
11947 (log-edit-insert-changelog): Add `save-excursion' and don't move point.
11950 2013-12-18 Juri Linkov <juri@jurta.org>
11952 * help-mode.el (help-mode-map): Bind "l" to help-go-back,
11953 and "r" to help-go-forward for compatibity with Info. (Bug#16178)
11955 2013-12-18 Leo Liu <sdl.web@gmail.com>
11957 * eshell/em-prompt.el (eshell-emit-prompt): Fix last change.
11960 2013-12-18 Eli Zaretskii <eliz@gnu.org>
11962 * ls-lisp.el (ls-lisp-insert-directory): Don't modify %d and %f
11963 formats for displaying file sizes when the -s switch is given.
11964 Instead, compute a separate format for displaying the size in
11965 blocks, which is displayed in addition to the "regular" size.
11966 When -h is given in addition to -s, produce size in blocks in
11967 human-readable form as well. (Bug#16179)
11969 2013-12-18 Tassilo Horn <tsdh@gnu.org>
11971 * textmodes/reftex-vars.el (reftex-label-alist-builtin):
11972 Reference tables with ~\ref{...} instead of only \ref{...}.
11974 2013-12-18 Chong Yidong <cyd@gnu.org>
11976 * cus-edit.el (custom-magic-alist): Fix "themed" description
11979 * custom.el (custom-push-theme): If custom--inhibit-theme-enable
11980 is non-nil, do not create a new entry in the symbol's theme-value
11981 or theme-face property; update theme-settings only (Bug#14664).
11982 (custom-available-themes): Doc fix.
11984 * cus-theme.el (custom-new-theme-mode-map): Add bindings
11987 * replace.el (occur-engine): Avoid infloop (Bug#7593).
11989 2013-12-18 Kazuhiro Ito <kzhr@d1.dion.ne.jp> (tiny change)
11991 * progmodes/make-mode.el (makefile-fill-paragraph): Fix infloop
11994 2013-12-18 Shigeru Fukaya <shigeru.fukaya@gmail.com>
11996 * apropos.el (apropos-words-to-regexp): Fix algorithm (Bug#13946).
11998 2013-12-18 Glenn Morris <rgm@gnu.org>
12000 * Makefile.in (BYTE_COMPILE_FLAGS): Set load-prefer-newer to t.
12001 * cus-start.el (load-prefer-newer): New option.
12003 2013-12-18 Le Wang <l26wang@gmail.com>
12005 * comint.el (comint-previous-matching-input-from-input):
12006 Retain point (Bug#13404).
12008 2013-12-18 Chong Yidong <cyd@gnu.org>
12010 * simple.el (append-next-kill): Doc fix (Bug#15995, Bug#16016).
12012 2013-12-18 Glenn Morris <rgm@gnu.org>
12014 * mail/emacsbug.el (report-emacs-bug):
12015 Only mention enable-multibyte-characters if non-standard.
12017 2013-12-17 Juri Linkov <juri@jurta.org>
12019 * arc-mode.el (archive-extract-by-file): Check if directory exists
12020 before deletion to not show irrelevant errors if it doesn't exist.
12022 2013-12-17 Juri Linkov <juri@jurta.org>
12024 * menu-bar.el (menu-bar-tools-menu): Add `browse-web'.
12027 * net/eww.el (browse-web): Add alias to `eww'.
12028 (eww-mode-map): Bind "r" to `eww-forward-url' like in Info.
12029 Bind "S-SPC" to `scroll-down-command'. (Bug#16178)
12031 * net/browse-url.el (browse-url-browser-function): Move `eww'
12032 closer to similar functions.
12034 * startup.el (fancy-startup-screen, fancy-about-screen):
12035 Set browse-url-browser-function to eww-browse-url locally.
12038 2013-12-17 Stefan Monnier <monnier@iro.umontreal.ca>
12040 * window.el (window--pixel-to-total): Remove unused `mini' var.
12041 (maximize-window, minimize-window): Remove unused `pixelwise' arg.
12042 (split-window): Remove unused `new' var.
12043 (window--display-buffer): Remove unused `frame' and `delta' vars.
12044 (fit-window-to-buffer): Remove unused vars `frame', `display-height',
12045 and display-width'.
12047 2013-12-17 Martin Rudalics <rudalics@gmx.at>
12049 * dired.el (dired-mark-pop-up):
12050 * register.el (register-preview): Don't bind
12051 split-height-threshold here since it's now done in
12052 display-buffer-below-selected.
12054 2013-12-17 oblique <psyberbits@gmail.com> (tiny change)
12056 * term/rxvt.el (rxvt-rgb-convert-to-16bit): Standardize with
12057 xterm-rgb-convert-to-16bit.
12058 (rxvt-register-default-colors): Standardize with
12059 xterm-register-default-colors (Bug#14078).
12061 2013-12-17 Dima Kogan <dima@secretsauce.net> (tiny change)
12063 * simple.el (kill-region): Pass mark first, then point, so that
12064 kill-append works right (Bug#12819).
12065 (copy-region-as-kill, kill-ring-save): Likewise.
12067 2013-12-17 Leo Liu <sdl.web@gmail.com>
12069 * net/rcirc.el (rcirc-add-face):
12070 * eshell/em-prompt.el (eshell-emit-prompt):
12071 * eshell/em-ls.el (eshell-ls-decorated-name): Use font-lock-face.
12074 2013-12-17 Chong Yidong <cyd@gnu.org>
12076 * files.el (break-hardlink-on-save): Doc fix (Bug#13801).
12077 Suggested by Xue Fuqiao.
12079 2013-12-17 Dmitry Gutov <dgutov@yandex.ru>
12081 * progmodes/ruby-mode.el (ruby-smie-rules): Indent ternary if.
12083 2013-12-17 Stefan Monnier <monnier@iro.umontreal.ca>
12085 * net/shr.el (shr-insert-document): Remove unused var
12086 `shr-preliminary-table-render'.
12087 (shr-rescale-image): Remove unused arg `force'.
12088 (shr-put-image): Update calls accordingly.
12089 (shr-tag-a): Use `cont' rather than dyn-bound `dom'.
12091 2013-12-17 Dmitry Gutov <dgutov@yandex.ru>
12093 * emacs-lisp/smie.el (smie-indent--rule): Extract `smie-indent--rule-1'.
12094 (smie-indent-close): Call `smie-indent--rule-1' with METHOD
12095 :close-all, to see which indentation method to use (Bug#16116).
12096 (smie-rules-function): Document the method :close-all.
12098 2013-12-16 Lars Magne Ingebrigtsen <larsi@gnus.org>
12100 * net/shr.el (shr-tag-a): Support zero-length <a name="foo"> elements.
12102 * net/eww.el (eww-display-html): If we can't find the anchor we're
12103 looking for, then go to point-min.
12105 2013-12-16 Paul Eggert <eggert@cs.ucla.edu>
12107 Fix problems with CANNOT_DUMP and EMACSLOADPATH.
12108 * Makefile.in (emacs): Add lisp src to EMACSLOADPATH.
12109 * loadup.el: Check for src/bootstrap-emacs only when Emacs can dump.
12110 Expand dir too, in case it's relative.
12112 2013-12-16 Juri Linkov <juri@jurta.org>
12114 * desktop.el (desktop-auto-save-timeout): Change default to
12115 `auto-save-timeout'. Doc fix.
12116 (desktop-save): Skip the timestamp in desktop-saved-frameset
12117 when checking for auto-save changes.
12118 (desktop-auto-save): Don't call desktop-auto-save-set-timer since
12119 `desktop-auto-save' is called repeatedly by the idle timer.
12120 (desktop-auto-save-set-timer): Replace `run-with-timer' with
12121 `run-with-idle-timer' and a non-nil arg REPEAT. Doc fix.
12124 2013-12-16 Juri Linkov <juri@jurta.org>
12126 * isearch.el (isearch-mode-map): Remove [escape] key bindinds.
12128 (isearch-pre-command-hook): Check `this-command' for symbolp.
12130 2013-12-16 Stefan Monnier <monnier@iro.umontreal.ca>
12132 * emacs-lisp/gv.el (gv-ref): Mention lexbind restriction (bug#16153).
12134 2013-12-16 Teodor Zlatanov <tzz@lifelogs.com>
12136 * progmodes/cfengine.el (cfengine3--current-word): Remove.
12137 (cfengine3--current-function): Bring in the current-function
12138 functionality from `cfengine3--current-word'.
12139 (cfengine3-completion-function): Bring in the
12140 bounds-of-current-word functionality from
12141 `cfengine3--current-word'.
12143 2013-12-16 Martin Rudalics <rudalics@gmx.at>
12145 * window.el (display-buffer-below-selected):
12146 Bind split-height-threshold to 0 as suggested by Juri Linkov.
12148 2013-12-16 Leo Liu <sdl.web@gmail.com>
12150 * progmodes/compile.el (compile-goto-error): Do not push-mark.
12151 Remove NOMSG arg and all uses changed.
12153 2013-12-16 Stefan Monnier <monnier@iro.umontreal.ca>
12155 * emulation/cua-rect.el (cua-rectangle-mark-mode): New minor mode.
12156 (cua--deactivate-rectangle): Don't deactivate the mark.
12157 (cua-set-rectangle-mark): Don't set mark-active since
12158 cua--activate-rectangle already does it for us.
12159 (cua--rectangle-highlight-for-redisplay): Unhighlight a previous
12160 non-rectangular region.
12162 * emulation/cua-base.el (cua-repeat-replace-region):
12163 Use with-current-buffer.
12165 * net/gnutls.el: Use cl-lib.
12166 (gnutls-negotiate): `mapcan' -> cl-mapcan.
12168 2013-12-14 Teodor Zlatanov <tzz@lifelogs.com>
12170 * emacs-lisp/package.el (package-built-in-p): Support both
12171 built-in and the package.el converted package descriptions.
12172 (package-show-package-list): Allow keywords.
12173 (package-keyword-button-action): Use it instead of
12174 `finder-list-matches'.
12175 (package-menu-filter-interactive): Interactive filtering (by
12177 (package-menu--generate): Support keywords and change keymappings
12178 and headers when they are given.
12179 (package--has-keyword-p): Helper function.
12180 (package-menu--refresh): Use it.
12181 (package--mapc): Helper function.
12182 (package-all-keywords): Use it.
12183 (package-menu-mode-map): Set up menu items and keybindings to
12184 provide a filtering UI.
12186 2013-12-14 Teodor Zlatanov <tzz@lifelogs.com>
12188 * net/gnutls.el (gnutls-verify-error): New defcustom to control
12189 the behavior when a certificate fails validation. Defaults to
12190 old behavior: never abort, just warn.
12191 (gnutls-negotiate): Use it.
12193 2013-12-14 Martin Rudalics <rudalics@gmx.at>
12195 * window.el (display-buffer-below-selected): Never split window
12196 horizontally. Suggested by Juri Linkov <juri@jurta.org>.
12198 2013-12-14 Tom Willemse <tom@ryuslash.org> (tiny change)
12200 * emacs-lisp/package.el (package--prepare-dependencies): New function.
12201 (package-buffer-info): Use it (bug#15108).
12203 2013-12-14 Stefan Monnier <monnier@iro.umontreal.ca>
12205 * icomplete.el (icomplete-completions): Make sure the prefix is already
12206 displayed elsewhere before hiding it (bug#16219).
12208 2013-12-14 Dmitry Gutov <dgutov@yandex.ru>
12210 * progmodes/ruby-mode.el (ruby-smie-rules): Return nil before
12211 open-paren tokens when preceded by a open-paren, too.
12212 (ruby-smie-rules): Handle virtual indentation after open-paren
12213 tokens specially. If there is code between it and eol, return the
12214 column where is starts (Bug#16118).
12216 2013-12-13 Teodor Zlatanov <tzz@lifelogs.com>
12218 * progmodes/cfengine.el: Fix `add-hook' doc.
12219 (cfengine-mode-syntax-functions-regex): Initialize sensibly.
12220 (cfengine3--current-word): Fix parameters.
12221 (cfengine3-make-syntax-cache): Simplify further.
12222 (cfengine3-completion-function, cfengine3--current-function):
12223 Use `assq' for symbols.
12224 (cfengine3--current-function): Fix `cfengine3--current-word' call.
12226 2013-12-13 Glenn Morris <rgm@gnu.org>
12228 * loadup.el (load-path): Warn if site-load or site-init changes it.
12229 No more need to reset it when bootstrapping.
12231 2013-12-13 Teodor Zlatanov <tzz@lifelogs.com>
12233 * progmodes/cfengine.el (cfengine-cf-promises): Add more default
12234 locations for cf-promises.
12235 (cfengine-mode-syntax-functions-regex): New caching variable.
12236 (cfengine3-fallback-syntax): Fallback syntax for cases where
12237 cf-promises doesn't run.
12238 (cfengine3--current-word): Reimplement using
12239 `cfengine-mode-syntax-functions-regex'.
12240 (cfengine3-completion-function, cfengine3--current-function):
12241 Use `cfengine3-make-syntax-cache' directly.
12242 (cfengine3-clear-syntax-cache): New function.
12243 (cfengine3-make-syntax-cache): Simplify and create
12244 `cfengine-mode-syntax-functions-regex' on demand.
12245 (cfengine3-format-function-docstring): Don't call
12246 `cfengine3-make-syntax-cache' explicitly.
12248 2013-12-13 Martin Rudalics <rudalics@gmx.at>
12250 Fix windmove-find-other-window broken after pixelwise resizing
12252 * windmove.el (windmove-other-window-loc): Revert change from
12254 (windmove-find-other-window): Call window-in-direction.
12255 * window.el (window-in-direction): New arguments SIGN, WRAP and
12256 MINI to emulate original windmove-find-other-window behavior.
12258 2013-12-13 Dmitry Gutov <dgutov@yandex.ru>
12260 * simple.el (blink-matching--overlay): New variable.
12261 (blink-matching-open): Instead of moving point, highlight the
12262 matching paren with an overlay
12263 (http://lists.gnu.org/archive/html/emacs-devel/2013-12/msg00333.html).
12265 * faces.el (paren-showing-faces, show-paren-match)
12266 (show-paren-mismatch): Move from paren.el.
12268 2013-12-13 Leo Liu <sdl.web@gmail.com>
12270 * indent.el (indent-region): Disable progress reporter in
12271 minibuffer. (Bug#16108)
12273 * bindings.el (visual-order-cursor-movement): Fix version.
12275 2013-12-13 Fabián Ezequiel Gallina <fgallina@gnu.org>
12277 * progmodes/python.el (python-pdbtrack-stacktrace-info-regexp):
12278 Also match after beginning of line.
12279 (python-pdbtrack-set-tracked-buffer): Fix logic for remote
12280 files. Thanks to Russell Sim. (Bug#15378)
12282 2013-12-13 Juri Linkov <juri@jurta.org>
12284 * simple.el <Keypad support>: Remove key bindings duplicated
12285 with bindings.el. (Bug#14397)
12287 2013-12-13 Juri Linkov <juri@jurta.org>
12289 * comint.el (comint-mode-map): Replace `delete-char' with
12290 `delete-forward-char'. (Bug#16109)
12292 2013-12-12 Fabián Ezequiel Gallina <fgallina@gnu.org>
12294 * progmodes/python.el (python-indent-calculate-indentation):
12295 Fix de-denters cornercase. (Bug#15731)
12297 2013-12-12 Stefan Monnier <monnier@iro.umontreal.ca>
12299 * emacs-lisp/nadvice.el: Add `depth' property to manage ordering.
12300 (advice--make): Pay attention to `depth'.
12301 (advice--make-1): Don't autoload commands eagerly.
12302 * emacs-lisp/elp.el (elp-instrument-function):
12303 * emacs-lisp/trace.el (trace-function-internal):
12304 * emacs-lisp/debug.el (debug-on-entry): Keep them "first".
12306 * iswitchb.el (iswitchb-mode): Don't belittle ido.
12308 2013-12-12 Eli Zaretskii <eliz@gnu.org>
12310 * term/w32-win.el (w32-handle-dropped-file):
12311 * startup.el (normal-top-level):
12312 * net/browse-url.el (browse-url-file-url):
12313 * dnd.el (dnd-get-local-file-name): On MS-Windows, encode and
12314 decode file names using 'utf-8' rather than
12315 file-name-coding-system.
12317 2013-12-12 Fabián Ezequiel Gallina <fgallina@gnu.org>
12319 * progmodes/python.el (python-indent-context)
12320 (python-indent-calculate-indentation): Fix auto-identation
12321 behavior for comment blocks. (Bug#15916)
12323 2013-12-12 Nathan Trapuzzano <nbtrap@nbtrap.com> (tiny change)
12325 * progmodes/python.el (python-indent-calculate-indentation):
12326 When determining indentation, don't treat "return", "pass", etc., as
12327 operators when they are just string constituents. (Bug#15812)
12329 2013-12-12 Juri Linkov <juri@jurta.org>
12331 * uniquify.el (uniquify-buffer-name-style): Change default to
12332 `post-forward-angle-brackets'.
12334 * menu-bar.el (menu-bar-options-menu): Don't require preloaded
12335 `uniquify'. Change default to `post-forward-angle-brackets'.
12337 2013-12-11 Glenn Morris <rgm@gnu.org>
12339 * emacs-lisp/package.el (finder-list-matches):
12340 Autoload rather than falsely declaring.
12342 2013-12-11 Teodor Zlatanov <tzz@lifelogs.com>
12344 * net/eww.el (eww-exit, eww-close): Add UI convenience wrappers.
12345 (eww-mode-map): Use them.
12347 2013-12-11 Martin Rudalics <rudalics@gmx.at>
12349 * window.el (display-buffer-in-side-window): Fix doc-string
12352 2013-12-11 Juanma Barranquero <lekktu@gmail.com>
12354 * vc/vc-git.el: Silence byte-compiler warnings.
12355 (vc-git-dir-extra-headers): Rename arg _dir which is no longer ignored.
12356 (log-edit-set-header): Declare.
12358 2013-12-11 Eli Zaretskii <eliz@gnu.org>
12360 * Makefile.in (custom-deps, finder-data): Run output file names
12361 through unmsys--file-name. (Bug#16099)
12363 2013-12-11 Stefan Monnier <monnier@iro.umontreal.ca>
12365 * emacs-lisp/smie.el (smie-indent--hanging-p): Don't bother matching
12366 comment-start-skip, which fails when that uses submatch 1 (bug#16041).
12368 * emulation/cua-base.el (cua-paste): Add `delete-selection' property
12369 instead of deleting the selection "by hand" (bug#16098).
12370 Rely on insert-for-yank to yank rectangles.
12371 (cua-highlight-region-shift-only): Mark obsolete.
12372 (cua-mode): Don't enable/disable transient-mark-mode,
12373 shift-select-mode (cua-mode works both with and without them), and
12374 pc-selection-mode (obsolete).
12375 * emulation/cua-rect.el (cua--activate-rectangle): Activate the mark.
12376 (cua--deactivate-rectangle): Deactivate it.
12378 * delsel.el (delete-selection-mode): Don't enable transient-mark-mode.
12379 (delete-selection-helper): Make sure yank starts at the top of the
12381 (minibuffer-keyboard-quit): Use region-active-p.
12383 * emacs-lisp/trace.el (trace-make-advice): Don't deactivate the mark.
12385 * simple.el (normal-erase-is-backspace-mode): Map kp-delete identically
12386 to `delete' (bug#16109).
12388 2013-12-11 Rüdiger Sonderfeld <ruediger@c-plusplus.de>
12390 * progmodes/octave.el (octave-mode, inferior-octave-mode): Link to
12391 info manual and show keybindings and set `:group' keyword.
12393 2013-12-11 Juri Linkov <juri@jurta.org>
12395 * delsel.el (delete-active-region): Let-bind `this-command'
12396 to prevent `kill-region' from changing its original value.
12397 (delete-selection-helper): Handle `overwrite-mode' for the type
12398 `kill' exactly the same way as for the type `t'.
12399 (insert-char, quoted-insert, reindent-then-newline-and-indent):
12400 Support more commands. (Bug#13312)
12402 2013-12-11 Juri Linkov <juri@jurta.org>
12404 * bindings.el: Map kp keys to non-kp keys systematically
12405 with basic modifiers control, meta and shift. (Bug#14397)
12407 2013-12-11 Kenjiro NAKAYAMA <nakayamakenjiro@gmail.com>
12409 * net/eww.el (eww-mode-map): Instead of "Quit" show "Exit" and
12410 "Close browser" menu items. Fix wrong function of "List
12413 2013-12-11 Juri Linkov <juri@jurta.org>
12415 * misearch.el (multi-isearch-buffers): Set the value of
12416 `multi-isearch-buffer-list' globally. Set NO-RECURSIVE-EDIT
12417 arg of isearch-forward to t.
12418 (multi-isearch-buffers-regexp): Set the value of
12419 `multi-isearch-buffer-list' globally. Set NO-RECURSIVE-EDIT
12420 arg of isearch-forward-regexp to t.
12421 (multi-isearch-files): Set the value of
12422 `multi-isearch-file-list' globally. Set NO-RECURSIVE-EDIT
12423 arg of isearch-forward to t.
12424 (multi-isearch-files-regexp): Set the value of
12425 `multi-isearch-file-list' globally. Set NO-RECURSIVE-EDIT
12426 arg of isearch-forward-regexp to t. (Bug#16035)
12428 * dired-aux.el (dired-isearch-filenames): Set NO-RECURSIVE-EDIT
12429 arg of isearch-forward to t.
12430 (dired-isearch-filenames-regexp): Set NO-RECURSIVE-EDIT
12431 arg of isearch-forward-regexp to t.
12432 (dired-isearch-filter-filenames): Remove unnecessary check for
12433 `dired-isearch-filenames'.
12435 * comint.el (comint-history-isearch-backward):
12436 Set NO-RECURSIVE-EDIT arg of isearch-backward to t.
12437 (comint-history-isearch-backward-regexp):
12438 Set NO-RECURSIVE-EDIT arg of isearch-backward-regexp to t.
12440 2013-12-10 Eli Zaretskii <eliz@gnu.org>
12442 * Makefile.in (autoloads): Run $(srcdir)/loaddefs.el through
12443 unmsys--file-name. (Bug#16099)
12445 2013-12-10 Teodor Zlatanov <tzz@lifelogs.com>
12447 * emacs-lisp/package.el (package-keyword-button-action):
12448 Remove finder.el require dependency.
12450 2013-12-09 Teodor Zlatanov <tzz@lifelogs.com>
12452 * emacs-lisp/package.el: Require finder.el.
12453 (describe-package-1): Add keyword buttons.
12454 (package-make-button): New convenience function.
12455 (package-keyword-button-action): Keyword button action using
12456 `finder-list-matches'.
12458 2013-12-09 Eli Zaretskii <eliz@gnu.org>
12460 * autorevert.el (auto-revert-notify-add-watch): Fix a thinko in
12463 2013-12-09 Michael Albinus <michael.albinus@gmx.de>
12465 * autorevert.el (auto-revert-notify-add-watch): Do not handle
12468 2013-12-09 Dmitry Gutov <dgutov@yandex.ru>
12470 * progmodes/ruby-mode.el (ruby-smie--implicit-semi-p): Return t
12471 after the end of a percent literal.
12473 2013-12-09 Cameron Desautels <camdez@gmail.com> (tiny change)
12475 * progmodes/ruby-mode.el (ruby-forward-string): Document.
12476 Handle caret-delimited strings (Bug#16079).
12478 2013-12-09 Dmitry Gutov <dgutov@yandex.ru>
12480 * progmodes/ruby-mode.el (ruby-accurate-end-of-block):
12481 When `ruby-use-smie' is t, use `smie-forward-sexp' instead of
12482 `ruby-parse-partial' (Bug#16078).
12484 2013-12-09 Leo Liu <sdl.web@gmail.com>
12486 * subr.el (read-passwd): Disable show-paren-mode. (Bug#16091)
12488 2013-12-08 Dmitry Gutov <dgutov@yandex.ru>
12490 * progmodes/js.el (js-auto-indent-flag): Remove, was unused.
12491 (js-switch-indent-offset): New option.
12492 (js--proper-indentation): Use it. And handle the case when
12493 "default" is actually a key in an object literal.
12494 (js--same-line): New function.
12495 (js--multi-line-declaration-indentation): Use it.
12496 (js--indent-in-array-comp, js--array-comp-indentation):
12498 (js--proper-indentation): Use them, to handle array comprehension
12501 2013-12-08 Leo Liu <sdl.web@gmail.com>
12503 * progmodes/flymake.el (flymake-highlight-line): Re-write.
12504 (flymake-make-overlay): Remove arg MOUSE-FACE.
12505 (flymake-save-string-to-file, flymake-read-file-to-string): Remove.
12507 2013-12-08 Stefan Monnier <monnier@iro.umontreal.ca>
12509 * emulation/cua-rect.el (cua--rectangle-highlight-for-redisplay):
12511 (redisplay-highlight-region-function): Use it.
12513 * emulation/cua-base.el (cua--explicit-region-start)
12514 (cua--last-region-shifted): Remove.
12515 (cua--deactivate): Use deactivate-mark.
12516 (cua--pre-command-handler-1): Don't handle shift-selection.
12517 (cua--post-command-handler-1): Don't change transient-mark-mode.
12518 (cua--select-keymaps): Use region-active-p rather than
12519 cua--explicit-region-start or cua--last-region-shifted.
12520 (cua-mode): Enable shift-select-mode.
12522 2013-12-08 Leo Liu <sdl.web@gmail.com>
12524 * progmodes/flymake.el (flymake-popup-current-error-menu):
12525 Rename from flymake-display-err-menu-for-current-line. Reimplement.
12526 (flymake-posn-at-point-as-event, flymake-popup-menu)
12527 (flymake-make-emacs-menu): Remove. (Bug#16077)
12529 2013-12-08 Stefan Monnier <monnier@iro.umontreal.ca>
12531 * rect.el (rectangle-mark-mode): Activate mark even if
12532 transient-mark-mode is off (bug#16066).
12533 (rectangle--highlight-for-redisplay): Fix boundary condition when point
12534 is > mark and at bolp.
12536 * emulation/cua-rect.el (cua--rectangle-region-extract): New function.
12537 (region-extract-function): Use it.
12538 (cua-mouse-save-then-kill-rectangle): Use cua-copy-region.
12539 (cua-copy-rectangle, cua-cut-rectangle, cua-delete-rectangle):
12541 (cua--init-rectangles): Don't re-remap copy-region-as-kill,
12542 kill-ring-save, kill-region, delete-char, delete-forward-char.
12543 Ignore self-insert-iso.
12545 * emulation/cua-gmrk.el (cua--init-global-mark):
12546 Ignore `self-insert-iso'.
12548 * emulation/cua-base.el (cua--prefix-copy-handler)
12549 (cua--prefix-cut-handler): Rely on region-extract-function rather than
12550 checking cua--rectangle.
12551 (cua-delete-region): Use region-extract-function.
12552 (cua-replace-region): Delete function.
12553 (cua-copy-region, cua-cut-region): Obey region-extract-function.
12554 (cua--pre-command-handler-1): Don't do the delete-selection thing.
12555 (cua--self-insert-char-p): Ignore `self-insert-iso'.
12556 (cua--init-keymaps): Don't remap delete-selection commands.
12557 (cua-mode): Use delete-selection-mode instead of rolling our own
12560 * menu-bar.el (clipboard-kill-ring-save, clipboard-kill-region):
12561 Obey region-extract-function.
12563 Make registers and delete-selection-mode work on rectangles.
12564 * register.el (describe-register-1): Don't modify the register's value.
12565 (copy-to-register): Obey region-extract-function.
12566 * delsel.el (delete-active-region): Obey region-extract-function.
12568 2013-12-08 Leo Liu <sdl.web@gmail.com>
12570 * progmodes/flymake.el (flymake, flymake-error-bitmap)
12571 (flymake-warning-bitmap, flymake-fringe-indicator-position)
12572 (flymake-compilation-prevents-syntax-check)
12573 (flymake-start-syntax-check-on-newline)
12574 (flymake-no-changes-timeout, flymake-gui-warnings-enabled)
12575 (flymake-start-syntax-check-on-find-file, flymake-log-level)
12576 (flymake-xml-program, flymake-master-file-dirs)
12577 (flymake-master-file-count-limit)
12578 (flymake-allowed-file-name-masks): Relocate.
12579 (flymake-makehash, flymake-float-time)
12580 (flymake-replace-regexp-in-string, flymake-split-string)
12581 (flymake-get-temp-dir): Remove.
12582 (flymake-popup-menu, flymake-nop, flymake-make-xemacs-menu)
12583 (flymake-current-row, flymake-selected-frame)
12584 (flymake-get-point-pixel-pos): Remove xemacs compatibity and
12585 related functions. (Bug#16077)
12587 2013-12-07 Bozhidar Batsov <bozhidar@batsov.com>
12589 * emacs-lisp/helpers.el (string-blank-p): Use `string-match-p'.
12591 2013-12-07 Tassilo Horn <tsdh@gnu.org>
12593 * help-fns.el (describe-function-1): Use new advice-* functions
12594 rather than old ad-* functions. Fix function type description and
12595 source links for advised functions and subrs.
12597 2013-12-07 Lars Magne Ingebrigtsen <larsi@gnus.org>
12599 * net/shr.el (shr-tag-img): Don't bug out on <img src=""> data.
12601 2013-12-06 Michael Albinus <michael.albinus@gmx.de>
12603 * progmodes/compile.el (compilation-start):
12604 * progmodes/grep.el (rgrep): Revert change 2012-12-20T11:15:38Z!michael.albinus@gmx.de.
12606 * net/tramp-sh.el (tramp-sh-handle-start-file-process):
12607 Handle long command lines, lasting from "sh -c ...". (Bug#16045)
12609 2013-12-06 Dmitry Gutov <dgutov@yandex.ru>
12611 * progmodes/ruby-mode.el (ruby-syntax-propertize-function):
12612 Touch up the last change.
12614 2013-12-06 Leo Liu <sdl.web@gmail.com>
12616 * progmodes/octave.el (inferior-octave-prompt): Use shy groups.
12617 (inferior-octave-startup): Always use "octave> " for prompt.
12618 (octave-goto-function-definition)
12619 (octave-sync-function-file-names)
12620 (octave-find-definition-default-filename): Remove redundant backquotes.
12622 2013-12-06 Dmitry Gutov <dgutov@yandex.ru>
12624 * progmodes/ruby-mode.el (ruby-mode-syntax-table): Don't modify
12626 (ruby-expr-beg): Expect that `!' will have syntax class "symbol"
12627 where appropriate already.
12628 (ruby-syntax-propertize-function): Propertize `?' and `!' at the
12629 end of method names (Bug#15874).
12631 2013-12-06 Juri Linkov <juri@jurta.org>
12633 * isearch.el (isearch--saved-overriding-local-map):
12634 New internal variable.
12635 (isearch-mode): Set it to the initial value of
12636 `overriding-terminal-local-map'.
12637 (isearch-pre-command-hook): Compare `overriding-terminal-local-map'
12638 with `isearch--saved-overriding-local-map'. (Bug#16035)
12640 2013-12-06 Dmitry Gutov <dgutov@yandex.ru>
12642 * progmodes/octave.el (inferior-octave-completion-table):
12643 Turn back into function, use `completion-table-with-cache'
12644 (Bug#11906). Update all references.
12646 * minibuffer.el (completion-table-with-cache): New function.
12648 2013-12-05 Cameron Desautels <camdez@gmail.com> (tiny change)
12650 * emacs-lisp/regexp-opt.el (regexp-opt-charset): Fix ^ (bug#16046).
12652 2013-12-05 Teodor Zlatanov <tzz@lifelogs.com>
12654 * net/eww.el (eww-current-source): New variable to store page
12656 (eww-display-html, eww-mode, eww-save-history)
12657 (eww-restore-history): Use it.
12658 (eww-view-source): New command to view page source.
12659 Opportunistically uses `html-mode' to highlight the buffer.
12660 (eww-mode-map): Install it.
12662 2013-12-05 Michael Albinus <michael.albinus@gmx.de>
12664 * net/dbus.el (dbus-unregister-service)
12665 (dbus-escape-as-identifier, dbus-unescape-from-identifier):
12667 (dbus-unregister-service): Skip :serial entries in
12668 `dbus-registered-objects-table'.
12669 (dbus-byte-array-to-string): New optional arg MULTIBYTE.
12671 2013-12-04 Teodor Zlatanov <tzz@lifelogs.com>
12673 * emacs-lisp/lisp-mnt.el (lm-keywords-list): Trim whitespace
12674 around keywords with extra `split-string' argument.
12676 2013-12-04 Martin Rudalics <rudalics@gmx.at>
12678 * windmove.el (windmove-other-window-loc): Handle navigation
12679 between windows (excluding the minibuffer window - Bug#16017).
12681 2013-12-04 Michael Albinus <michael.albinus@gmx.de>
12683 * net/dbus.el (dbus-byte-array-to-string): Accept also byte arrays
12684 in D-Bus type syntax.
12685 (dbus-unescape-from-identifier): Use `byte-to-string' in order to
12686 preserve unibyte strings. (Bug#16048)
12688 2013-12-04 Stefan Monnier <monnier@iro.umontreal.ca>
12690 * emacs-lisp/eldoc.el (eldoc-minibuffer-message):
12691 Call force-mode-line-update is the proper buffer (bug#16042).
12693 2013-12-04 Dmitry Gutov <dgutov@yandex.ru>
12695 * vc/log-edit.el (log-edit-add-new-comment): Rename to
12696 `log-edit-remember-comment', make argument optional. Adjust all
12698 (log-edit-mode): Add `log-edit-remember-comment' to
12699 `kill-buffer-hook' locally.
12700 (log-edit-kill-buffer): Don't remember comment explicitly since
12701 the buffer is killed anyway.
12703 2013-12-04 Juri Linkov <juri@jurta.org>
12705 * isearch.el (isearch-mode, isearch-done): Don't set arg LOCAL in
12706 add-hook and remove-hook for multi-buffer search. (Bug#16035)
12708 2013-12-03 Tom Regner <tom@goochesa.de> (tiny change)
12710 * notifications.el (notifications-close-notification): Call the
12711 D-Bus method with ID being a `:uint32'. (Bug#16030)
12713 2013-12-03 Katsumi Yamaoka <yamaoka@jpl.org>
12715 * net/eww.el (eww-render): Don't pass arg to eww-display-image.
12717 2013-12-03 Juri Linkov <juri@jurta.org>
12719 * progmodes/compile.el (compilation-start): Rename window alist
12720 entry `no-display-ok' to `allow-no-window'.
12722 * simple.el (shell-command): Add window alist entry
12723 `allow-no-window' to `display-buffer'.
12724 (async-shell-command): Doc fix.
12726 * window.el (display-buffer-no-window): New action function.
12727 (display-buffer-alist, display-buffer): Doc fix. (Bug#13594)
12729 2013-12-02 Dmitry Gutov <dgutov@yandex.ru>
12731 * vc/log-edit.el (log-edit-set-header): Extract from
12732 `log-edit-toggle-header'.
12733 (log-edit-extract-headers): Separate the summary, when extracted
12734 from header, from the rest of the message with an empty line.
12736 * vc/vc-git.el (vc-git-log-edit-toggle-amend): Move the summary
12737 line, if present, to the Summary header.
12739 2013-12-02 Stefan Monnier <monnier@iro.umontreal.ca>
12741 * epa-file.el (epa-file-insert-file-contents): Ensure we insert text
12742 in current-buffer (bug#16029).
12744 2013-12-02 Helmut Eller <eller.helmut@gmail.com>
12746 * emacs-lisp/debug.el (debugger-toggle-locals): New command.
12747 (debugger-mode-map): Bind it.
12748 (debugger--backtrace-base): New function.
12749 (debugger-eval-expression): Use it.
12750 (debugger-frame-number): Skip local vars when present.
12751 (debugger--locals-visible-p, debugger--insert-locals)
12752 (debugger--show-locals, debugger--hide-locals): New functions.
12754 2013-12-02 Michael Albinus <michael.albinus@gmx.de>
12756 * net/tramp-sh.el (tramp-remote-process-environment): Do not set
12758 (tramp-get-remote-locale): New defun.
12759 (tramp-open-connection-setup-interactive-shell): Use it.
12761 2013-12-02 Leo Liu <sdl.web@gmail.com>
12763 * subr.el (process-live-p): Return nil for non-process. (Bug#16023)
12765 * progmodes/sh-script.el (sh-shell-process):
12766 * progmodes/octave.el (inferior-octave-process-live-p):
12767 * progmodes/gdb-mi.el (gdb-delchar-or-quit)
12768 (gdb-inferior-io-sentinel):
12769 * emacs-lock.el (emacs-lock-live-process-p): All uses changed.
12771 2013-12-02 Dmitry Gutov <dgutov@yandex.ru>
12773 * vc/log-edit.el (log-edit-kill-buffer): Move the use of
12774 `save-selected-window' to `log-edit-hide-buf'. This makes
12775 `log-edit-show-files' idempotent.
12776 (log-edit-show-files): Mark the new window as dedicated.
12778 2013-12-02 Dmitry Gutov <dgutov@yandex.ru>
12780 * vc/log-edit.el (log-edit-mode-map): Add binding for
12781 `log-edit-kill-biffer'.
12782 (log-edit-hide-buf): Add a FIXME comment.
12783 (log-edit-add-new-comment): New function, extracted from
12785 (log-edit-done, log-edit-add-to-changelog): Use it.
12786 (log-edit-kill-buffer): New command.
12788 2013-12-01 Lars Magne Ingebrigtsen <larsi@gnus.org>
12790 * net/eww.el (eww-mode-map): Have `q' do a normal `quit-window'
12791 instead of killing the buffer.
12793 2013-12-01 Stefan Monnier <monnier@iro.umontreal.ca>
12795 * simple.el (newline): Mention `electric-indent-mode' (bug#16015).
12797 2013-12-01 Rüdiger Sonderfeld <ruediger@c-plusplus.de>
12799 * net/eww.el (eww-form-checkbox-selected-symbol)
12800 (eww-form-checkbox-symbol): New customizable variable.
12801 (eww-form-checkbox, eww-toggle-checkbox):
12802 Use `eww-form-checkbox-selected-symbol' and `eww-form-checkbox-symbol'.
12804 * net/shr.el (shr-prefer-media-type-alist): New customizable variable.
12805 (shr--get-media-pref, shr--extract-best-source): New function.
12806 (shr-tag-video, shr-tag-audio): Use `shr--extract-best-source' when
12807 no :src tag was specified.
12809 * net/eww.el (eww-use-external-browser-for-content-type): New variable.
12810 (eww-render): Handle `eww-use-external-browser-for-content-type'.
12811 Use \\` to match beginning of string instead of ^.
12812 (eww-browse-with-external-browser): Provide optional URL parameter.
12813 (eww-render): Set `eww-current-title' back to "".
12815 * net/shr.el (shr-tag-video): Display content for video if no
12816 poster is available.
12817 (shr-tag-audio): Add support for <audio> tag.
12819 * net/eww.el (eww-text-input-types): New const.
12820 (eww-process-text-input): Treat input types in
12821 `eww-text-input-types' as text.
12823 * net/shr.el (shr-tag-table): Fix comment typo.
12825 2013-12-01 Lars Magne Ingebrigtsen <larsi@gnus.org>
12827 * net/eww.el (eww-follow-link): New command to avoid reloading
12828 pages when we follow #target links (bug#15243).
12829 (eww-quit): Special mode buffers shouldn't query before exiting.
12831 2013-12-01 Kenjiro NAKAYAMA <nakayamakenjiro@gmail.com>
12833 * net/eww.el (eww-tag-select): Support <optgroup> tags in <select>
12836 2013-12-01 Lars Magne Ingebrigtsen <larsi@gnus.org>
12838 * net/eww.el (eww-restore-history): Update the window title after
12839 moving in the history.
12840 (eww-current-dom): New variable used to save the current DOM.
12842 2013-12-01 Dmitry Gutov <dgutov@yandex.ru>
12844 * vc/log-edit.el (log-edit-mode-map): Add binding for
12845 `log-edit-beginning-of-line'.
12846 (log-edit-setup-add-author): New user option.
12847 (log-edit-beginning-of-line): New command.
12848 (log-edit): Move major mode call above the contents setup so that
12849 the local variable values are already applied.
12850 (log-edit): Only insert "Author: " when
12851 `log-edit-setup-add-author' is non-nil.
12852 (log-edit): When SETUP is non-nil, position point after ": "
12853 instead of point-min.
12855 2013-12-01 Glenn Morris <rgm@gnu.org>
12857 * startup.el (command-line): Warn if ~/emacs.d is in load-path.
12859 2013-11-30 Eli Zaretskii <eliz@gnu.org>
12861 * startup.el (fancy-splash-frame): On MS-Windows, trigger
12862 redisplay to make sure the initial frame gets a chance to become
12863 visible. (Bug#16014)
12865 2013-11-30 Martin Rudalics <rudalics@gmx.at>
12867 Support resizing frames and windows pixelwise.
12868 * cus-start.el (frame-resize-pixelwise)
12869 (window-resize-pixelwise): New entries.
12870 * emacs-lisp/debug.el (debug): Use window-total-height instead
12871 of window-total-size.
12872 * frame.el (tool-bar-lines-needed): Defalias to tool-bar-height.
12873 * help.el (describe-bindings-internal): Use help-buffer as
12874 argument for with-help-window.
12875 (temp-buffer-max-width): New option.
12876 (resize-temp-buffer-window, help-window-setup)
12877 (with-help-window): Rewrite.
12878 * mouse.el (mouse-drag-line): Rewrite. Add key bindings for
12880 * window.el (frame-char-size, window-min-pixel-height)
12881 (window-safe-min-pixel-height, window-safe-min-pixel-width)
12882 (window-min-pixel-width, window-safe-min-pixel-size)
12883 (window-combination-p, window-safe-min-size)
12884 (window-resizable-p, window--size-to-pixel)
12885 (window--pixel-to-size, window--resize-apply-p): New functions.
12886 (window-safe-min-height): Fix doc-string.
12887 (window-size, window-min-size, window--min-size-1)
12888 (window-sizable, window-sizable-p, window--min-delta-1)
12889 (window-min-delta, window--max-delta-1, window-max-delta)
12890 (window--resizable, window--resizable-p, window-resizable)
12891 (window-full-height-p, window-full-width-p, window-at-side-p)
12892 (window--in-direction-2, window-in-direction)
12893 (window--resize-reset-1, window--resize-mini-window)
12894 (window-resize, window-resize-no-error)
12895 (window--resize-child-windows-normal)
12896 (window--resize-child-windows, window--resize-siblings)
12897 (window--resize-this-window, window--resize-root-window)
12898 (window--resize-root-window-vertically)
12899 (adjust-window-trailing-edge, enlarge-window, shrink-window)
12900 (maximize-window, minimize-window, delete-window)
12901 (quit-restore-window, window-split-min-size, split-window)
12902 (balance-windows-2, balance-windows)
12903 (balance-windows-area-adjust, balance-windows-area)
12904 (window--state-get-1, window-state-get, window--state-put-1)
12905 (window--state-put-2, window-state-put)
12906 (display-buffer-record-window, window--display-buffer):
12907 Make functions handle pixelwise sizing of windows.
12908 (display-buffer--action-function-custom-type)
12909 (display-buffer-fallback-action):
12910 Add display-buffer-in-previous-window.
12911 (display-buffer-use-some-window): Resize window to height it had
12913 (fit-window-to-buffer-horizontally): New option.
12914 (fit-frame-to-buffer): Describe new values.
12915 (fit-frame-to-buffer-bottom-margin): Replace with
12916 fit-frame-to-buffer-margins.
12917 (window--sanitize-margin): New function.
12918 (fit-frame-to-buffer, fit-window-to-buffer): Rewrite completely
12919 using window-text-pixel-size.
12921 2013-11-30 Glenn Morris <rgm@gnu.org>
12923 * emacs-lisp/bytecomp.el (byte-compile-form):
12924 Make the `interactive-only' warning like the `obsolete' one.
12925 * comint.el (comint-run):
12926 * files.el (insert-file-literally, insert-file):
12927 * replace.el (replace-string, replace-regexp):
12928 * simple.el (beginning-of-buffer, end-of-buffer, delete-backward-char)
12929 (goto-line, insert-buffer, next-line, previous-line):
12930 Tweak `interactive-only' spec.
12932 Stop keeping (most) generated cedet grammar files in the repository.
12933 * Makefile.in (semantic): New.
12934 (compile-main): Depend on semantic.
12936 2013-11-29 Stefan Monnier <monnier@iro.umontreal.ca>
12938 * net/newst-reader.el (newsticker-html-renderer): Default to SHR if
12939 available. Suggested by Clément B. <barthele1u@etu.univ-lorraine.fr>.
12941 * uniquify.el (uniquify-buffer-name-style): Change default.
12943 * loadup.el: Preload "uniquify".
12945 * time.el (display-time-update): Update all mode lines (bug#15999).
12947 * electric.el (electric-indent-mode): Enable by default.
12948 * loadup.el: Preload "electric".
12950 2013-11-29 Bozhidar Batsov <bozhidar@batsov.com>
12952 * emacs-lisp/helpers.el (string-empty-p): New function.
12953 (string-blank-p): New function.
12955 2013-11-29 Andreas Politz <politza@hochschule-trier.de>
12957 * imenu.el (imenu--index-alist): Add missing dot to the docstring
12960 2013-11-29 Andreas Politz <politza@fh-trier.de>
12961 * imenu.el (imenu--subalist-p): Don't error on non-conses and
12962 allow non-lambda lists as functions.
12963 (imenu--in-alist): Don't recurse into non-subalists.
12964 (imenu): Don't pass function itself as an argument (Bug#14029).
12966 2013-11-29 Stefan Monnier <monnier@iro.umontreal.ca>
12968 * progmodes/python.el (python-mode-map): Remove binding for ":".
12969 (python-indent-electric-colon): Remove command.
12970 (python-indent-post-self-insert-function): Integrate the previous code
12971 of python-indent-electric-colon. Make it conditional on
12972 electric-indent-mode.
12973 (python-mode): Add ?: to electric-indent-chars.
12974 Move python-indent-post-self-insert-function to the end of
12975 post-self-insert-hook.
12977 2013-11-28 Stefan Monnier <monnier@iro.umontreal.ca>
12979 * doc-view.el (doc-view-goto-page): Update mode-line.
12981 * vc/vc-dispatcher.el (vc-log-edit): Setup the Summary&Author headers.
12983 2013-11-27 Glenn Morris <rgm@gnu.org>
12985 * international/charprop.el, international/uni-bidi.el:
12986 * international/uni-category.el, international/uni-combining.el:
12987 * international/uni-comment.el, international/uni-decimal.el:
12988 * international/uni-decomposition.el, international/uni-digit.el:
12989 * international/uni-lowercase.el, international/uni-mirrored.el:
12990 * international/uni-name.el, international/uni-numeric.el:
12991 * international/uni-old-name.el, international/uni-titlecase.el:
12992 * international/uni-uppercase.el:
12993 Remove generated files from VCS repository.
12995 2013-11-27 Eli Zaretskii <eliz@gnu.org>
12997 * filenotify.el (file-notify-add-watch): Don't special-case
12998 w32notify when computing the directory to watch.
13000 2013-11-27 Glenn Morris <rgm@gnu.org>
13002 Make bootstrap without generated uni-*.el files possible again.
13003 * loadup.el: Update command-line-args checking for unidata-gen.
13004 Add vc to load-path to allow loading vc-bzr when writing uni-*.el.
13005 * composite.el, international/characters.el:
13006 Handle unicode tables being undefined.
13008 Move ja-dic, quail, leim-list.el from ../leim to a leim subdirectory.
13009 * Makefile.in (setwins_for_subdirs): Skip leim/ directory.
13010 (compile-main): Depend on leim rule.
13012 * loadup.el: Move leim-list.el to leim/ subdirectory.
13013 * startup.el (normal-top-level): No more leim directory.
13014 * international/ja-dic-cnv.el (skkdic-convert):
13015 Disable version-control and autoloads in output files.
13016 * international/titdic-cnv.el (titdic-convert, miscdic-convert):
13017 Disable version-control and autoloads in output files.
13018 * leim/quail: Move here from ../leim.
13019 * leim/quail/hangul.el (hangul-input-method-activate):
13020 Add autoload cookie.
13021 (generated-autoload-load-name): Set file-local value.
13022 * leim/quail/uni-input.el (ucs-input-activate): Add autoload cookie.
13023 (generated-autoload-load-name): Set file-local value.
13025 2013-11-26 Kenjiro NAKAYAMA <knakayam@redhat.com>
13027 * net/eww.el (eww-bookmark-browse): Use 'eww-browse-url'.
13028 (eww-add-bookmark): Ask confirmation when add to bookmarks.
13029 (eww-quit): Ask confirmation before quitting eww.
13031 2013-11-26 Eli Zaretskii <eliz@gnu.org>
13033 * vc/vc.el (vc-diff-internal): Use *-dos coding-system when
13034 reading output from Diff on MS-Windows and MS-DOS.
13036 2013-11-26 Bozhidar Batsov <bozhidar@batsov.com>
13038 * emacs-lisp/helpers.el (string-reverse): New function.
13040 2013-11-26 Michael Albinus <michael.albinus@gmx.de>
13042 * net/tramp.el (tramp-file-name-regexp-unified): Support IPv6 host
13043 names on MS Windows, like "/[::1]:".
13045 * net/tramp-sh.el (tramp-sh-handle-insert-directory): Accept nil
13048 2013-11-26 Glenn Morris <rgm@gnu.org>
13050 * progmodes/python.el (python-indent-guess-indent-offset):
13051 Avoid corner-case error. (Bug#15975)
13053 Preload leim-list.el. (Bug#4789)
13054 * loadup.el: Load leim-list.el when found.
13055 * startup.el (normal-top-level): Skip re-loading leim/leim-list.el.
13057 2013-11-25 Bozhidar Batsov <bozhidar@batsov.com>
13059 * emacs-lisp/bytecomp.el (byte-compile-form): Fix a typo.
13061 * emacs-lisp/helpers.el (string-join): New function.
13063 2013-11-25 Sebastian Wiesner <lunaryorn@gmail.com> (tiny change)
13065 * emacs-lisp/bytecomp.el (byte-compile-interactive-only-functions):
13066 Mark as obsolete and replace it with a symbol property.
13067 (byte-compile-form): Use new 'interactive-only property.
13068 * comint.el, files.el, replace.el, simple.el:
13069 Apply new 'interactive-only properly.
13071 2013-11-25 Martin Rudalics <rudalics@gmx.at>
13073 * window.el (display-buffer-at-bottom): Make sure that
13074 split-window-sensibly creates the new window on bottom
13077 2013-11-23 David Kastrup <dak@gnu.org>
13079 * vc/smerge-mode.el (smerge-ediff): Choose default buffer names based
13080 on the conflict markers when available.
13081 (smerge--get-marker): New function.
13082 (smerge-end-re, smerge-base-re): Add subgroup.
13084 2013-11-25 Stefan Monnier <monnier@iro.umontreal.ca>
13086 * frame.el (handle-focus-in, handle-focus-out): Add missing
13089 2013-11-25 Michael Albinus <michael.albinus@gmx.de>
13091 * net/tramp-cmds.el (tramp-cleanup-connection): Clean up
13092 `tramp-current-connection' only when KEEP-PASSWORD is non-nil.
13094 2013-11-25 Stefan Monnier <monnier@iro.umontreal.ca>
13096 * play/gomoku.el: Don't use intangible property. Use lexical-binding.
13097 (gomoku--last-pos): New var.
13098 (gomoku--intangible-chars): New const.
13099 (gomoku--intangible): New function.
13100 (gomoku-mode): Use it. Derive from special-mode.
13101 (gomoku-move-up): Adjust line count.
13102 (gomoku-click, gomoku-point-y, gomoku-point-square, gomoku-goto-xy)
13103 (gomoku-plot-square, gomoku-init-display, gomoku-cross-qtuple):
13104 Simplify accordingly.
13106 * frame.el (handle-focus-in, handle-focus-out): Move from frame.c.
13107 Remove blink-cursor code.
13108 (blink-cursor-timer-function, blink-cursor-suspend):
13109 Don't special-case GUIs.
13110 (blink-cursor-mode): Use focus-in/out-hook.
13112 2013-11-25 Dmitry Gutov <dgutov@yandex.ru>
13114 * vc/vc-git.el (vc-git-annotate-extract-revision-at-line): Make it
13115 work when annotation is invisible (Bug#13886).
13117 2013-11-24 Simon Schubert <2@0x2c.org> (tiny change)
13119 * json.el (json-alist-p): Only return non-nil if the alist has
13120 simple keys (Bug#13518).
13122 2013-11-24 Mihir Rege <mihirrege@gmail.com> (tiny change)
13124 * progmodes/js.el (js--ctrl-statement-indentation): Fix indent
13125 when control-statement is the first statement in a buffer (Bug#15956).
13127 2013-11-24 Dmitry Gutov <dgutov@yandex.ru>
13129 * imenu.el (imenu-generic-skip-comments-and-strings):
13130 New option (Bug#15560).
13131 (imenu--generic-function): Use it.
13133 2013-11-24 Jorgen Schaefer <contact@jorgenschaefer.de>
13135 * minibuffer.el (completion--in-region-1): Scroll the correct window.
13138 2013-11-24 Bozhidar Batsov <bozhidar@batsov.com>
13140 * emacs-lisp/helpers.el: Add some string helpers.
13141 (string-trim-left): Removes leading whitespace.
13142 (string-trim-right): Removes trailing whitespace.
13143 (string-trim): Removes leading and trailing whitespace.
13145 * subr.el (string-suffix-p): New function.
13147 2013-11-23 Glenn Morris <rgm@gnu.org>
13149 * progmodes/python.el (python-shell-send-file):
13150 Add option to delete file when done. (Bug#15647)
13151 (python-shell-send-string, python-shell-send-region): Use it.
13153 2013-11-23 Ivan Shmakov <ivan@siamics.net>
13155 * vc/diff-mode.el (diff-mode): Only allow diff-default-read-only
13156 to set buffer-read-only to t, never to nil. (Bug#15938)
13158 * textmodes/tex-mode.el (latex-noindent-environments):
13159 Add safe-local-variable property. (Bug#15936)
13161 2013-11-23 Glenn Morris <rgm@gnu.org>
13163 * textmodes/enriched.el (enriched-mode): Doc fix.
13164 * emacs-lisp/authors.el (authors-renamed-files-alist):
13165 Add enriched.doc -> enriched.txt.
13167 * Makefile.in (emacs): Empty EMACSLOADPATH rather than unsetting.
13169 2013-11-22 Leo Liu <sdl.web@gmail.com>
13171 * progmodes/octave.el (inferior-octave-startup): Spit out error
13174 2013-11-22 Bozhidar Batsov <bozhidar@batsov.com>
13176 * progmodes/ruby-mode.el (ruby-custom-encoding-magic-comment-template):
13179 (ruby-encoding-magic-comment-style): Add :version.
13181 2013-11-22 Leo Liu <sdl.web@gmail.com>
13183 * progmodes/octave.el (octave-operator-regexp): Exclude newline.
13185 (octave-help-mode): Adapt to change to help-mode-finish to use
13186 derived-mode-p on 2013-09-17.
13187 (inferior-octave-prompt): Also match octave-gui.
13188 (octave-kill-process): Don't ask twice. (Bug#10564)
13190 2013-11-22 Leo Liu <sdl.web@gmail.com>
13192 * progmodes/octave.el (inferior-octave-process-live-p): New helper.
13193 (inferior-octave-startup, inferior-octave-check-process)
13194 (inferior-octave-track-window-width-change)
13195 (octave-completion-at-point, octave-eldoc-function): Use it.
13196 (octave-kill-process): Provide confirmation. (Bug#10564)
13198 2013-11-21 Leo Liu <sdl.web@gmail.com>
13200 * progmodes/octave.el (octave-mode, inferior-octave-mode):
13201 Fix obsolete variable comment-use-global-state.
13203 2013-11-21 Rüdiger Sonderfeld <ruediger@c-plusplus.de>
13205 * progmodes/octave.el (octave-mode-map, octave-mode-menu):
13206 Add `octave-source-file'.
13207 (octave-source-file): New function. (Bug#15935)
13209 2013-11-21 Kenjiro Nakayama <nakayamakenjiro@gmail.com>
13211 * net/eww.el (eww-local-regex): New variable.
13212 (eww): Use it to detect localhost and similar.
13214 2013-11-21 Leo Liu <sdl.web@gmail.com>
13216 Add completion for command `ag'.
13217 * pcmpl-x.el (pcmpl-x-ag-options): New variable.
13218 (pcomplete/ag): New function.
13219 (pcmpl-x-ag-options): New function. Handle `[no]' in long options.
13221 2013-11-21 Stefan Monnier <monnier@iro.umontreal.ca>
13223 * emacs-lisp/byte-run.el (eval-when-compile): Fix edebug spec
13225 (make-obsolete): Remove interactive spec.
13227 2013-11-21 Glenn Morris <rgm@gnu.org>
13229 * startup.el (command-line-1): Use path-separator with -L.
13231 2013-11-20 Teodor Zlatanov <tzz@lifelogs.com>
13233 * emacs-lisp/package.el (describe-package-1): Add package archive
13236 2013-11-20 Bozhidar Batsov <bozhidar@batsov.com>
13238 * progmodes/ruby-mode.el (ruby-custom-encoding-magic-comment-template):
13239 Change default to "# encoding: %s" to differentiate it from the
13240 default Ruby encoding comment template.
13242 2013-11-20 era eriksson <era+emacsbugs@iki.fi>
13244 * ses.el (ses-mode): Doc fix. (Bug#14748)
13246 2013-11-20 Leo Liu <sdl.web@gmail.com>
13248 * window.el (display-buffer-alist): Doc fix. (Bug#13594)
13250 2013-11-19 Dan Nicolaescu <dann@gnu.org>
13252 * vc/vc-git.el (vc-git-dir-extra-headers): Add headers
13253 when rebase or bisect are in progress.
13255 2013-11-19 Xue Fuqiao <xfq.free@gmail.com>
13257 * filenotify.el (file-notify-add-watch): Doc fix.
13259 2013-11-19 Leo Liu <sdl.web@gmail.com>
13261 * obsolete/rcompile.el: Mark obsolete.
13263 * progmodes/compile.el (compilation-start)
13264 (compilation-goto-locus, compilation-find-file):
13265 Pass no-display-ok and handle nil value from display-buffer.
13268 * window.el (display-buffer-alist, display-buffer): Document the
13269 new parameter no-display-ok. Return either a window or nil
13270 but never a non-window value.
13272 2013-11-18 Stefan Monnier <monnier@iro.umontreal.ca>
13274 * electric.el (electric-indent-mode-map): Remove.
13275 (electric-indent-mode): Change the global-map instead (bug#15915).
13277 * textmodes/text-mode.el (paragraph-indent-minor-mode):
13280 2013-11-17 Stefan Monnier <monnier@iro.umontreal.ca>
13282 * emacs-lisp/nadvice.el (remove-function): Align with
13283 add-function's behavior.
13285 * progmodes/gdb-mi.el: Avoid backtracking in regexp matcher.
13286 (gdb--string-regexp): New constant.
13287 (gdb-tooltip-print, gdb-var-evaluate-expression-handler)
13288 (gdbmi-bnf-stream-record, gdb-jsonify-buffer): Use it.
13289 (gdb-source-file-regexp, gdb-prompt-name-regexp): Use it and change
13291 (gdb-get-source-file-list, gdb-get-prompt, gdb-get-source-file):
13292 Adjust use accordingly.
13293 (gdb-breakpoints-list-handler-custom): Pre-build the y/n string.
13295 2013-11-17 Adam Sokolnicki <adam.sokolnicki@gmail.com> (tiny change)
13297 * progmodes/ruby-mode.el (ruby-toggle-block): Don't stop at
13298 interpolation curlies (Bug#15914).
13300 2013-11-17 Jay Belanger <jay.p.belanger@gmail.com>
13302 * calc/calc.el (calc-context-sensitive-enter): New variable.
13303 (calc-enter): Use `calc-context-sensitive-enter'.
13305 2013-11-16 Teodor Zlatanov <tzz@lifelogs.com>
13307 * progmodes/cfengine.el: Version bump.
13308 (cfengine-cf-promises): New defcustom to locate cf-promises.
13309 (cfengine3-vartypes): Add new "data" type.
13310 (cfengine3--current-word): New function to get current name-like
13311 word or its bounds.
13312 (cfengine3--current-function): New function to look up a CFEngine
13313 function's definition.
13314 (cfengine3-format-function-docstring): New function.
13315 (cfengine3-make-syntax-cache): New function.
13316 (cfengine3-documentation-function): New function: ElDoc glue.
13317 (cfengine3-completion-function): New function: completion glue.
13318 (cfengine3-mode): Set `compile-command',
13319 `eldoc-documentation-function', and add to
13320 `completion-at-point-functions'.
13322 2013-11-16 Michael Albinus <michael.albinus@gmx.de>
13324 * net/tramp-cmds.el (tramp-cleanup-connection): Clean up
13325 `tramp-current-connection'.
13327 2013-11-15 Dmitry Gutov <dgutov@yandex.ru>
13329 * progmodes/ruby-mode.el (ruby-font-lock-keywords): End regexp for
13330 nil/self/true/false with "end of symbol".
13332 2013-11-15 Bozhidar Batsov <bozhidar@batsov.com>
13334 * subr.el (version-regexp-alist): Fix a typo.
13336 2013-11-15 Michael Albinus <michael.albinus@gmx.de>
13338 * net/tramp-sh.el (tramp-remote-process-environment): Set "LC_ALL" to
13339 "en_US.utf8" and "LC_CTYPE" to "".
13340 (tramp-maybe-open-connection): Set "LC_ALL" to "en_US.utf8".
13341 (tramp-sh-handle-insert-directory): Don't set "LC_ALL" and "LC_CTYPE".
13343 2013-11-15 Leo Liu <sdl.web@gmail.com>
13345 * loadhist.el (read-feature): Get rid of fake feature nil. (Bug#15889)
13347 2013-11-14 Stefan Monnier <monnier@iro.umontreal.ca>
13349 * progmodes/gud.el (ctl-x-map):
13350 Remove C-x SPC binding. (Bug#12342)
13351 (gud-jdb-find-source-using-classpath): Remove ((lambda (..)..)..).
13353 2013-11-14 Bozhidar Batsov <bozhidar@batsov.com>
13355 * subr.el (version-regexp-alist):
13356 Recognize hg, svn and darcs versions as snapshot versions.
13358 * progmodes/ruby-mode.el (ruby--detect-encoding): Make aware of
13359 'always-utf8 value of `ruby-insert-encoding-magic-comment'.
13360 (ruby--encoding-comment-required-p): Extract from
13361 `ruby-mode-set-encoding'.
13362 (ruby-mode-set-encoding): Add the ability to always insert an
13363 utf-8 encoding comment. Fix and simplify coding comment update
13366 2013-11-14 Michael Albinus <michael.albinus@gmx.de>
13368 * net/tramp-gvfs.el (top): Run init code only when
13369 `tramp-gvfs-enabled' is not nil.
13370 (tramp-gvfs-enabled): Check also :system bus.
13372 2013-11-14 Stefan Monnier <monnier@iro.umontreal.ca>
13374 Sync with upstream verilog-mode revision 78e66ba.
13375 * progmodes/verilog-mode.el (verilog-end-of-defun)
13376 (verilog-type-completion, verilog-get-list): Remove unused funcs.
13377 (verilog-get-end-of-defun): Remove unused argument.
13378 (verilog-comment-depth): Remove unused local `e'.
13379 (verilog-read-decls, verilog-read-sub-decls, verilog-read-instants):
13380 Don't pass arg to verilog-get-end-of-defun.
13382 2013-11-14 Glenn Morris <rgm@gnu.org>
13384 * obsolete/assoc.el (aget): Prefix dynamic variable.
13386 * allout-widgets.el (allout-widgets): No need to autoload defgroup.
13388 2013-11-14 Stefan Monnier <monnier@iro.umontreal.ca>
13390 * widget.el, hfy-cmap.el: Remove bogus package version number.
13392 2013-11-13 Glenn Morris <rgm@gnu.org>
13394 * replace.el (replace-eval-replacement):
13395 Try to give more helpful error message. (Bug#15836)
13397 * arc-mode.el (archive-7z-extract, archive-7z-expunge)
13398 (archive-7z-update): Avoid custom type mismatches.
13400 * vc/vc.el (vc-diff-knows-L): Remove; unused since 2007-10-10.
13402 2013-11-13 Michael Albinus <michael.albinus@gmx.de>
13404 * net/tramp.el (tramp-remote-file-name-spec-regexp): An IPv6
13405 address can be empty.
13407 * net/tramp-gvfs.el (tramp-gvfs-handle-insert-directory):
13408 Accept nil SWITCHES.
13409 (tramp-gvfs-handle-write-region): Implement APPEND.
13411 2013-11-12 Dmitry Gutov <dgutov@yandex.ru>
13413 * progmodes/ruby-mode.el (ruby-smie-grammar): Disambiguate between
13414 binary "|" operator and closing block args delimiter.
13415 Remove FIXME comment referring to Ruby 1.8-only syntax.
13416 (ruby-smie--implicit-semi-p): Not after "|" operator.
13417 (ruby-smie--closing-pipe-p): New function.
13418 (ruby-smie--forward-token, ruby-smie--backward-token): Use it.
13419 (ruby-smie-rules): Indent after "|".
13421 2013-11-12 Glenn Morris <rgm@gnu.org>
13423 * ps-print.el (ps-face-attribute-list):
13424 Handle anonymous faces. (Bug#15827)
13426 2013-11-12 Martin Rudalics <rudalics@gmx.at>
13428 * window.el (display-buffer-other-frame): Fix doc-string.
13431 2013-11-11 Stefan Monnier <monnier@iro.umontreal.ca>
13433 * subr.el (force-mode-line-update): Delete, move to buffer.c.
13435 2013-11-11 Michael Albinus <michael.albinus@gmx.de>
13437 * net/tramp-sh.el (tramp-do-copy-or-rename-file-via-buffer)
13438 (tramp-sh-handle-file-local-copy): Don't write a message when
13439 saving temporary files.
13441 * net/tramp-smb.el (tramp-smb-handle-copy-directory): Fix bug when
13442 both directories are remote.
13443 (tramp-smb-handle-directory-files): Do not return double entries.
13444 Do not expand full file names.
13445 (tramp-smb-handle-insert-directory): Accept nil SWITCHES.
13446 (tramp-smb-handle-write-region): Implement APPEND.
13447 (tramp-smb-get-stat-capability): Fix a stupid bug.
13449 2013-11-11 Stefan Monnier <monnier@iro.umontreal.ca>
13451 * bindings.el (ctl-x-map): Bind C-x SPC to rectangle-mark-mode.
13453 2013-11-11 Nathan Trapuzzano <nbtrap@nbtrap.com> (tiny change)
13455 * emacs-lisp/cconv.el (cconv-convert): Print warning instead of
13456 throwing error over malformed let/let* (bug#15814).
13458 2013-11-11 Stefan Monnier <monnier@iro.umontreal.ca>
13460 * iswitchb.el (iswitchb-mode): Mark obsolete.
13462 2013-11-11 Glenn Morris <rgm@gnu.org>
13464 * international/uni-bidi.el, international/uni-category.el:
13465 * international/uni-name.el, international/uni-numeric.el:
13466 Regenerate for Unicode 6.3.0.
13468 2013-11-10 Michael Albinus <michael.albinus@gmx.de>
13470 * net/tramp.el (tramp-methods):
13471 * net/tramp-sh.el (tramp-compute-multi-hops): Revert change of
13472 2013-10-29 (2013-10-29T02:50:24Z!dancol@dancol.org).
13474 2013-11-09 Andreas Schwab <schwab@linux-m68k.org>
13476 * progmodes/sh-script.el (sh-font-lock-keywords-var):
13477 Force highlighting text after Summary keyword in doc face for rpm.
13479 2013-11-09 Dmitry Gutov <dgutov@yandex.ru>
13481 * textmodes/ispell.el (ispell-lookup-words): When `look' is not
13482 available and the word has no wildcards, append one to the grep pattern.
13483 http://lists.gnu.org/archive/html/emacs-devel/2013-11/msg00258.html
13484 (ispell-complete-word): Call `ispell-lookup-words' with the value
13485 independent of `ispell-look-p'.
13487 2013-11-08 Dmitry Gutov <dgutov@yandex.ru>
13489 * progmodes/ruby-mode.el (ruby-smie--implicit-semi-p):
13491 (ruby-smie-rules): Indent non-hanging "begin" blocks as part of
13494 2013-11-08 Stefan Monnier <monnier@iro.umontreal.ca>
13496 * progmodes/ruby-mode.el: Don't require cl any more. Use pcase instead.
13497 (ruby-font-lock-keywords): Use backquote.
13499 2013-11-08 Dmitry Gutov <dgutov@yandex.ru>
13501 * progmodes/ruby-mode.el (ruby-smie--forward-token)
13502 (ruby-smie--backward-token): Only consider full-string matches.
13504 2013-11-08 Jan Djärv <jan.h.d@swipnet.se>
13506 * faces.el (describe-face): Add distant-foreground.
13508 2013-11-08 Bozhidar Batsov <bozhidar@batsov.com>
13510 * progmodes/ruby-mode.el: Improve encoding comment handling.
13511 (ruby-encoding-magic-comment-style): New option.
13512 (ruby-custom-encoding-magic-comment-template): New option.
13513 (ruby--insert-coding-comment, ruby--detect-encoding):
13514 New functions extracted from `ruby-mode-set-encoding'.
13515 (ruby-mode-set-encoding): Use `ruby-encoding-magic-comment-style'
13516 to control the style of the auto-inserted encoding comment.
13518 2013-11-08 Dmitry Gutov <dgutov@yandex.ru>
13520 * progmodes/ruby-mode.el (ruby-smie--indent-to-stmt):
13521 Use `smie-backward-sexp' with token argument.
13523 2013-11-08 Michael Albinus <michael.albinus@gmx.de>
13525 * net/tramp-sh.el (tramp-set-remote-path, tramp-get-ls-command):
13526 Remove instrumentation code.
13528 2013-11-08 Glenn Morris <rgm@gnu.org>
13530 * progmodes/autoconf.el (autoconf-mode):
13531 Tweak comment-start-skip. (Bug#15822)
13533 2013-11-08 Stefan Monnier <monnier@iro.umontreal.ca>
13535 * progmodes/sh-script.el (sh-smie--sh-keyword-in-p): Don't inf-loop
13536 at bobp (bug#15826).
13537 (sh-smie--sh-keyword-in-p): Recognize keywords at bobp.
13539 2013-11-08 Darren Hoo <darren.hoo@gmail.com>
13541 * man.el (Man-start-calling): New macro, extracted from
13542 Man-getpage-in-background.
13543 (Man-getpage-in-background): Use it.
13544 (Man-update-manpage): New command.
13545 (Man-mode-map): Bind it.
13547 2013-11-08 Dmitry Gutov <dgutov@yandex.ru>
13549 * progmodes/ruby-mode.el (ruby-smie-grammar): Improve precedences
13550 of "and", "or", "&&" and "||".
13551 (ruby-smie--args-separator-p): Prohibit keyword "do" as the first
13552 argument. Prohibit opening curly brace because it could only be a
13553 block opener in that position.
13554 (ruby-smie--forward-token, ruby-smie--backward-token):
13555 Separate "|" from "&" or "*" going after it. That can happen in block
13557 (ruby-smie--indent-to-stmt): New function, seeks the end of
13558 previous statement or beginning of buffer.
13559 (ruby-smie-rules): Use it.
13560 (ruby-smie-rules): Check if there's a ":" before a curly block
13561 opener candidate; if there is, it's a hash.
13563 2013-11-07 Stefan Monnier <monnier@iro.umontreal.ca>
13565 * emacs-lisp/cl-macs.el (cl-symbol-macrolet): Use macroexp-progn.
13566 (cl--block-wrapper): Fix last accidental change.
13568 2013-11-07 Michael Albinus <michael.albinus@gmx.de>
13570 * net/tramp-sh.el (tramp-set-remote-path, tramp-get-ls-command):
13571 Instrument, in order to hunt failure on hydra.
13573 2013-11-05 Nathan Trapuzzano <nbtrap@nbtrap.com> (tiny change)
13575 * emacs-lisp/cl-macs.el (cl-symbol-macrolet): Print warning for
13576 malformed bindings form (bug#15814).
13578 2013-11-07 Dmitry Gutov <dgutov@yandex.ru>
13580 * progmodes/ruby-mode.el (ruby-smie-grammar): Lower priority of
13581 "." compared to " @ ". This incidentally fixes some indentation
13582 examples with "do".
13583 (ruby-smie--implicit-semi-p): No implicit semi after "^", "and" or "or".
13584 (ruby-smie-grammar): New tokens: "and" and "or".
13585 (ruby-smie--args-separator-p): Fix the check for tokens at POS.
13586 Exclude "and" and "or". Remove "do" in order to work around token
13588 (ruby-smie-rules): Add all infix tokens. Handle the case of
13589 beginning-of-buffer.
13591 2013-11-06 Glenn Morris <rgm@gnu.org>
13593 * Makefile.in (setwins_almost, setwins_for_subdirs):
13594 Avoid accidental matches.
13596 2013-11-06 Stefan Monnier <monnier@iro.umontreal.ca>
13598 * menu-bar.el (popup-menu): Use key-binding.
13600 2013-11-06 Eli Zaretskii <eliz@gnu.org>
13602 * menu-bar.el (popup-menu, menu-bar-open): When displaying TTY
13603 menus, support also the menus produced by minor modes.
13606 2013-11-06 Leo Liu <sdl.web@gmail.com>
13608 * thingatpt.el (thing-at-point-looking-at): Add optional arg
13609 DISTANCE to bound the search. All uses changed. (Bug#15808)
13611 2013-11-06 Glenn Morris <rgm@gnu.org>
13613 * Makefile.in (setwins, setwins_almost, setwins_for_subdirs): Simplify.
13614 (setwins_almost, setwins_for_subdirs): Don't assume called from srcdir.
13615 (custom-deps, finder-data, autoloads, update-subdirs): No need to cd.
13617 2013-11-06 Stefan Monnier <monnier@iro.umontreal.ca>
13619 * electric.el (electric-indent-just-newline): New command.
13620 (electric-indent-mode-map): New keymap.
13621 (electric-indent-mode, electric-pair-mode, electric-layout-mode):
13622 Re-add :group which weren't redundant.
13624 * electric.el (electric-indent-local-mode): New minor mode.
13625 (electric-indent-functions-without-reindent): New var.
13626 (electric-indent-post-self-insert-function): Use it.
13627 * emacs-lisp/gv.el (buffer-local-value): Add setter.
13629 2013-11-05 Eli Zaretskii <eliz@gnu.org>
13631 * international/quail.el (quail-help): Be more explicit about the
13632 meaning of the labels shown on the keys. (Bug#15800)
13634 * startup.el (normal-top-level): Load the subdirs.el files before
13635 setting the locale environment. (Bug#15805)
13637 2013-11-05 Stefan Monnier <monnier@iro.umontreal.ca>
13639 * vc/vc-rcs.el (vc-rcs-parse): Make `gather' get e, b, and @-holes
13640 via arguments so as to get the right ones (bug#15418).
13642 * net/rcirc.el (rcirc-record-activity): Don't abuse add-to-list.
13644 2013-11-05 Michael Albinus <michael.albinus@gmx.de>
13646 Fix problems found while writing a test suite.
13648 * net/tramp-compat.el (tramp-compat-load): New defun.
13649 * net/tramp.el (tramp-handle-load): Use it.
13651 * net/tramp-sh.el (tramp-sh-handle-add-name-to-file): Handle the case
13652 "(numberp ok-if-already-exists)" correctly.
13654 2013-11-05 Xue Fuqiao <xfq.free@gmail.com>
13656 * international/characters.el (glyphless-char-display-control):
13659 2013-11-05 Bozhidar Batsov <bozhidar@batsov.com>
13661 * progmodes/python.el (python-mode):
13662 * progmodes/scheme.el (scheme-mode):
13663 * progmodes/prolog.el (prolog-mode):
13664 * progmodes/ruby-mode.el (ruby-mode):
13665 * emacs-lisp/lisp-mode.el (lisp-mode, lisp-interaction-mode)
13666 (emacs-lisp-mode): Remove incorrect and redundant text from docstring.
13668 2013-11-04 Stefan Monnier <monnier@iro.umontreal.ca>
13670 * rect.el (rectangle--highlight-for-redisplay):
13671 * emacs-lisp/smie.el (smie--next-indent-change):
13672 Use buffer-chars-modified-tick.
13674 * emacs-lisp/byte-run.el (defmacro, defun): Set their `indent' property.
13676 * electric.el (electric-indent-post-self-insert-function):
13677 Only delete trailing whitepsace if it is indeed trailing (bug#15767).
13679 2013-11-04 Helmut Eller <eller.helmut@gmail.com>
13681 * emacs-lisp/cl-indent.el (with-compilation-unit): Add rule (bug#15782).
13683 2013-11-04 Nathan Trapuzzano <nbtrap@nbtrap.com> (tiny change)
13685 * emacs-lisp/cconv.el (cconv-convert): Check form of let binding
13688 2013-11-04 Stefan Monnier <monnier@iro.umontreal.ca>
13690 * emacs-lisp/helpers.el: Move from helpers.el. Use lexical-binding.
13692 * progmodes/python.el: Fix up last change.
13693 (python-shell--save-temp-file): New function.
13694 (python-shell-send-string): Use it. Remove `msg' arg. Don't assume
13695 `string' comes from the current buffer.
13696 (python-shell-send-string-no-output): Remove `msg' arg.
13697 (python--use-fake-loc): New var.
13698 (python-shell-buffer-substring): Obey it. Try to compensate for the
13699 extra coding line added by python-shell--save-temp-file.
13700 (python-shell-send-region): Use python-shell--save-temp-file and
13701 python-shell-send-file directly. Add `nomain' argument.
13702 (python-shell-send-buffer): Use python-shell-send-region.
13703 (python-electric-pair-string-delimiter): New function.
13704 (python-mode): Use it.
13706 2013-11-04 Eli Zaretskii <eliz@gnu.org>
13708 * startup.el (normal-top-level): Move setting eol-mnemonic-unix,
13709 eol-mnemonic-mac, eol-mnemonic-dos, and also setup of the locale
13710 environment and decoding all of the default-directory's to here
13712 (command-line): Decode also argv[0].
13714 * loadup.el: Error out if default-directory is a multibyte string
13715 when we are dumping.
13717 * Makefile.in (emacs): Don't set LC_ALL=C. (Bug#15260)
13719 2013-11-04 Teodor Zlatanov <tzz@lifelogs.com>
13721 * emacs-lisp/package.el (package-menu-mode)
13722 (package-menu--print-info, package-menu--archive-predicate):
13723 Add Archive column to package list.
13725 2013-11-04 Michael Albinus <michael.albinus@gmx.de>
13727 Fix problems found while writing a test suite.
13729 * net/tramp.el (tramp-file-name-regexp-unified): Simplify.
13730 (tramp-file-name-for-operation): Use `tramp-tramp-file-p'.
13731 (tramp-handle-substitute-in-file-name): Let-bind `process-environment'
13732 to nil when running original file name handler. Otherwise,
13733 there are problems with constructs like "$$FOO".
13735 * net/tramp-sh.el (tramp-do-copy-or-rename-file): Use correct prefix
13738 2013-11-04 Bozhidar Batsov <bozhidar@batsov.com>
13740 * progmodes/ruby-mode.el (ruby-mode): Clean up docstring.
13742 * subr.el (version<, version<=, version=):
13743 Update docstrings with information for snapshot versions.
13745 * helpers.el: New library for misc helper functions.
13746 (hash-table-keys): New function returning a list of hash keys.
13747 (hash-table-values): New function returning a list of hash values.
13749 2013-11-04 Dmitry Gutov <dgutov@yandex.ru>
13751 * progmodes/ruby-mode.el (ruby-smie--forward-token)
13752 (ruby-smie--backward-token): Tokenize heredocs as semicolons.
13754 2013-11-04 Michal Nazarewicz <mina86@mina86.com>
13756 * textmodes/fill.el (fill-single-char-nobreak-p): New function
13757 checking whether point is after a 1-letter word.
13759 2013-11-04 Nathan Trapuzzano <nbtrap@nbtrap.com> (tiny change)
13761 * progmodes/cperl-mode.el (cperl-font-lock-fontify-region-function):
13762 Don't infloop when expanding region over `multiline' syntax-type that
13763 begins a line (bug#15778).
13765 2013-11-04 Stefan Monnier <monnier@iro.umontreal.ca>
13767 * rect.el (rectangle-mark-mode): Rename from rectangle-mark.
13768 Make it into a proper minor mode.
13769 (rectangle--region): (Implicitly) rename to rectangle-mark-mode.
13770 (rectangle-mark-mode-map): New keymap.
13771 (rectangle--highlight-for-redisplay): Fix some corner cases (bug#15796).
13773 2013-11-04 Glenn Morris <rgm@gnu.org>
13775 * startup.el (command-line-1): Allow `-L :...' to append to load-path.
13777 2013-11-03 Stefan Monnier <monnier@iro.umontreal.ca>
13779 * progmodes/ruby-mode.el (ruby-smie--rule-parent-skip-assign): Remove.
13780 (ruby-smie-rules): Use smie-rule-parent instead.
13782 * emacs-lisp/smie.el (smie-rule-parent): Always call
13783 smie-indent-virtual rather than only for hanging tokens.
13784 (smie--next-indent-change): New helper command.
13786 2013-11-03 Glenn Morris <rgm@gnu.org>
13788 * Makefile.in (abs_srcdir): Remove.
13789 (emacs): Unset EMACSLOADPATH.
13791 2013-11-02 Glenn Morris <rgm@gnu.org>
13793 * Makefile.in (EMACS): Use a relative filename.
13794 (abs_top_builddir): Remove.
13795 (custom-deps, finder-data, autoloads): Use --chdir.
13797 * Makefile.in (abs_lisp): Remove, replace by abs_srcdir.
13799 Use relative filenames in TAGS files.
13800 * Makefile.in (lisptagsfiles1, lisptagsfiles2, lisptagsfiles3)
13801 (lisptagsfiles4, TAGS): Use relative file names.
13802 (TAGS-LISP): Remove.
13803 (maintainer-clean): No more TAGS-LISP file.
13805 * Makefile.in (lisptagsfiles1, lisptagsfiles2, lisptagsfiles3)
13806 (lisptagsfiles4): Use absolute filenames again.
13807 (TAGS, TAGS-LISP): Not everything needs to run in one line.
13808 Remove all *loaddefs files, not just the first. Remove esh-groups.
13809 (maintainer-clean): Delete TAGS, TAGS-LISP.
13811 2013-11-02 Bozhidar Batsov <bozhidar@batsov.com>
13813 * emacs-lisp/package.el (package-version-join):
13814 Recognize snapshot versions.
13816 2013-11-02 Bozhidar Batsov <bozhidar@batsov.com>
13818 * subr.el (version-regexp-alist): Add support for snapshot versions.
13820 2013-11-02 Dmitry Gutov <dgutov@yandex.ru>
13822 * progmodes/ruby-mode.el (ruby-smie--rule-parent-skip-assign):
13823 New function, replacement for `smie-rule-parent' for when we want to
13824 skip over our direct parent if it's an assignment token..
13825 (ruby-smie-rules): Use it.
13827 2013-11-02 Dmitry Gutov <dgutov@yandex.ru>
13829 * progmodes/ruby-mode.el: Use `syntax-propertize-function'
13830 unconditionally. Remove now unnecessary forward declarations.
13831 Remove XEmacs-specific setup.
13832 (ruby-here-doc-end-re, ruby-here-doc-beg-match)
13833 (ruby-font-lock-syntactic-keywords)
13834 (ruby-comment-beg-syntax, ruby-in-here-doc-p)
13835 (ruby-here-doc-find-end, ruby-here-doc-beg-syntax)
13836 (ruby-here-doc-end-syntax): Remove.
13837 (ruby-mode): Don't check whether `syntax-propertize-rules' is
13838 defined as function.
13840 2013-11-02 Bozhidar Batsov <bozhidar@batsov.com>
13842 * progmodes/ruby-mode.el (ruby-mode-variables, ruby-mode): Use `setq-local'.
13844 2013-11-01 Bozhidar Batsov <bozhidar@batsov.com>
13846 * progmodes/ruby-mode.el (ruby-mode-variables): Don't set syntax
13847 table and abbrev table, `define-derived-mode' does that for us
13850 2013-11-01 Glenn Morris <rgm@gnu.org>
13852 * Makefile.in: Remove manual mh-e dependencies (writing .elc
13853 files is atomic for some time, so no parallel compilation issues).
13855 2013-11-01 Jan Djärv <jan.h.d@swipnet.se>
13857 * faces.el (face-x-resources): Add :distant-foreground.
13858 (region): Use :distant-foreground for gtk and ns.
13860 2013-11-01 Tassilo Horn <tsdh@gnu.org>
13862 Allow multiple bibliographies when BibLaTeX is used rather than
13864 * textmodes/reftex-parse.el (reftex-using-biblatex-p): New function.
13865 (reftex-locate-bibliography-files): Us it.
13867 2013-11-01 Claudio Bley <claudio.bley@googlemail.com>
13869 * image.el (image-type-header-regexps): Fix the 'pbm' part to
13870 allow comments in pbm files.
13872 * term/w32-win.el (dynamic-library-alist): Support newer versions
13873 of libjpeg starting with v7: look only for the DLL from the
13874 version against which Emacs was built.
13875 Support versions of libpng beyond 1.4.x.
13876 Support libtiff v4.x.
13878 2013-11-01 Bozhidar Batsov <bozhidar@batsov.com>
13880 * progmodes/ruby-mode.el (ruby-indent-tabs-mode)
13881 (ruby-indent-level, ruby-comment-column, ruby-deep-arglist):
13882 Add property :safe.
13883 (ruby-deep-arglist): Add property :type.
13885 2013-10-31 Glenn Morris <rgm@gnu.org>
13887 * Makefile.in (custom-deps, finder-data): No need to setq the target
13888 variables, we are in the right directory and the defaults work fine.
13890 2013-10-30 Glenn Morris <rgm@gnu.org>
13892 * Makefile.in (autoloads): Do not use abs_lisp.
13894 * emacs-lisp/autoload.el (autoload-generate-file-autoloads):
13895 `newline' does not respect `standard-output', so use `princ'.
13897 2013-10-30 Alp Aker <alp.tekin.aker@gmail.com>
13899 Ensure unmarking in buffer menu clears 'S' marks. (Bug#15761)
13900 * buff-menu.el (Buffer-menu--unmark): New function.
13901 (Buffer-menu-unmark, Buffer-menu-backup-unmark): Use it.
13903 2013-10-30 Glenn Morris <rgm@gnu.org>
13905 * Makefile.in (AUTOGENEL): Add org/org-loaddefs.el.
13907 * emacs-lisp/package.el (lm-homepage): Declare.
13909 * eshell/em-ls.el (eshell-ls-directory, eshell-ls-symlink):
13912 * vc/pcvs.el (cvs-status-cvstrees): Autoload to silence compiler.
13914 * Makefile.in (finder-data, autoloads, update-subdirs)
13915 (compile-main, compile-clean, compile-always, bootstrap-clean):
13916 Check return value of cd.
13917 (compile-calc): Remove.
13919 2013-10-30 Stefan Monnier <monnier@iro.umontreal.ca>
13921 * simple.el (copy-region-as-kill): Fix call to region-extract-function.
13923 * emacs-lisp/bytecomp.el (byte-defop-compiler): Add new `2-and' handler.
13924 (byte-compile-and-folded): New function.
13925 (=, <, >, <=, >=): Use it.
13927 * dos-w32.el (minibuffer-history-case-insensitive-variables)
13928 (path-separator, null-device, buffer-file-coding-system)
13929 (lpr-headers-switches): Check system-type before modifying them.
13930 (find-buffer-file-type-coding-system): Mark obsolete.
13931 (w32-find-file-not-found-set-buffer-file-coding-system): Rename from
13932 find-file-not-found-set-buffer-file-coding-system.
13933 (w32-untranslated-filesystem-list, w32-untranslated-canonical-name)
13934 (w32-add-untranslated-filesystem, w32-remove-untranslated-filesystem)
13935 (w32-direct-print-region-use-command-dot-com, w32-untranslated-file-p)
13936 (w32-direct-print-region-helper, w32-direct-print-region-function)
13937 (w32-direct-ps-print-region-function): Rename by adding a "w32-" prefix.
13938 * startup.el (normal-top-level-add-subdirs-to-load-path):
13939 * ps-print.el (ps-print-region-function):
13940 * lpr.el (print-region-function): Use new name.
13942 * subr.el (custom-declare-variable-early): Remove function.
13943 (custom-declare-variable-list): Remove var.
13944 (error, user-error): Remove `while' loop.
13945 (read-quoted-char-radix, read-quoted-char): Move to simple.el.
13946 (user-emacs-directory-warning, locate-user-emacs-file):
13948 * simple.el (read-quoted-char-radix, read-quoted-char):
13949 * files.el (user-emacs-directory-warning, locate-user-emacs-file):
13951 * custom.el (custom-declare-variable-list): Don't process
13952 custom-declare-variable-list.
13954 * progmodes/python.el (python-shell-get-buffer): New function.
13955 (python-shell-get-process): Use it.
13956 (python-shell-send-string): Always use utf-8 and add a cookie to tell
13957 Python which encoding was used. Don't split-string since we only care
13958 about the first line. Return the temp-file, if applicable.
13959 (python-shell-send-region): Tell compile.el how to turn locations in
13960 the temp-file into locations in the source buffer.
13962 2013-10-29 Stefan Monnier <monnier@iro.umontreal.ca>
13964 * subr.el (undefined): Add missing behavior from the C code for
13967 * rect.el: Use lexical-binding. Add new rectangular region support.
13968 (rectangle-mark): New command.
13969 (rectangle--region): New var.
13970 (deactivate-mark-hook): Reset rectangle--region.
13971 (rectangle--extract-region, rectangle--insert-for-yank)
13972 (rectangle--highlight-for-redisplay)
13973 (rectangle--unhighlight-for-redisplay): New functions.
13974 (region-extract-function, redisplay-unhighlight-region-function)
13975 (redisplay-highlight-region-function): Use them to handle
13976 rectangular region.
13977 * simple.el (region-extract-function): New var.
13978 (delete-backward-char, delete-forward-char, deactivate-mark): Use it.
13979 (kill-new, kill-append): Remove obsolete `yank-handler' argument.
13980 (kill-region): Replace obsolete `yank-handler' arg with `region'.
13981 (copy-region-as-kill, kill-ring-save): Add `region' argument.
13982 (redisplay-unhighlight-region-function)
13983 (redisplay-highlight-region-function): New vars.
13984 (redisplay--update-region-highlight): New function.
13985 (pre-redisplay-function): Use it.
13986 (exchange-point-and-mark): Don't deactivate the mark before
13987 reactivate-it anyway.
13988 * comint.el (comint-kill-region): Remove yank-handler argument.
13989 * delsel.el (delete-backward-char, backward-delete-char-untabify)
13990 (delete-char): Remove property, since it's now part of their
13992 (self-insert-iso): Remove property since this command doesn't exist.
13994 * emacs-lisp/package.el (package--download-one-archive)
13995 (describe-package-1): Don't query the user about final newline.
13997 2013-10-29 Daniel Colascione <dancol@dancol.org>
13999 * net/tramp.el (tramp-methods): Document new functionality.
14000 * net/tramp-sh.el (tramp-compute-multi-hops): Punt to
14001 tramp-hostname-checker if method provides one instead of scanning
14002 argument list for "%h" to decide hostname acceptability.
14004 2013-10-28 Michael Albinus <michael.albinus@gmx.de>
14006 * net/tramp-sh.el (tramp-sh-handle-copy-directory):
14007 * net/tramp-smb.el (tramp-smb-handle-copy-directory):
14008 Handle COPY-CONTENTS. (Bug#15737)
14010 2013-10-28 Daiki Ueno <ueno@gnu.org>
14012 * epa-file.el (epa-file-cache-passphrase-for-symmetric-encryption):
14013 Document that this option has no effect with GnuPG 2.0 (bug#15552).
14015 2013-10-27 Xue Fuqiao <xfq.free@gmail.com>
14017 * image.el (defimage, image-load-path): Doc fixes.
14019 2013-10-27 Alan Mackenzie <acm@muc.de>
14021 Indent statements in macros following "##" correctly.
14022 * progmodes/cc-engine.el (c-crosses-statement-barrier-p):
14023 Modify the "#" arm of a cond form to handle "#" and "##" operators.
14025 2013-10-27 Nathan Trapuzzano <nbtrap@nbtrap.com> (tiny change)
14027 * linum.el (linum-update-window): Fix boundary test (bug#13446).
14029 2013-10-27 Dmitry Gutov <dgutov@yandex.ru>
14031 * progmodes/ruby-mode.el (ruby-smie--bosp): Anything that goes
14032 after `=' is probably a new expression.
14034 2013-10-27 Rüdiger Sonderfeld <ruediger@c-plusplus.de>
14036 * man.el (man-imenu-title): New option.
14037 (Man-mode-map): Add menu. (Bug#15722)
14038 (Man-mode): Add imenu to menu.
14040 2013-10-26 Dmitry Gutov <dgutov@yandex.ru>
14042 * progmodes/ruby-mode.el (ruby-smie--args-separator-p): Be more
14043 specific in what the first arg can be: a non-keyword word,
14044 string/regexp/percent literal opener, opening paren, or unary
14045 operator followed directly by word.
14047 2013-10-25 Stefan Monnier <monnier@iro.umontreal.ca>
14049 * progmodes/prolog.el: Remove old indent; use post-self-insert-hook.
14050 (prolog-align-comments-flag, prolog-indent-mline-comments-flag)
14051 (prolog-object-end-to-0-flag, prolog-electric-newline-flag)
14052 (prolog-electric-tab-flag, prolog-use-prolog-tokenizer-flag):
14053 Remove vars, they do not apply any more.
14054 (prolog-mode-abbrev-table): Remove redundant declaration.
14055 (prolog-upper-case-string, prolog-lower-case-string): Remove.
14056 (prolog-use-smie): Remove.
14057 (prolog-smie-rules): Add indentation rule for the if-then-else layout
14058 supported by prolog-electric-if-then-else-flag.
14059 (prolog-mode-variables, prolog-menu): Use setq-local.
14060 (prolog-mode-keybindings-edit): Don't rebind M-C-p and M-C-n.
14061 Remove binding to `Backspace' since this key doesn't exist anyway.
14062 Remove bindings for electric self-inserting keys.
14063 (prog-mode): Assume it's defined.
14064 (prolog-post-self-insert): New function.
14065 (prolog-mode): Use it.
14066 (prolog-indent-line, prolog-indent-level)
14067 (prolog-find-indent-of-matching-paren)
14068 (prolog-indentation-level-of-line, prolog-goto-comment-column)
14069 (prolog-paren-is-the-first-on-line-p, prolog-region-paren-balance)
14070 (prolog-goto-next-paren, prolog-in-string-or-comment)
14071 (prolog-tokenize, prolog-inside-mline-comment)
14072 (prolog-find-start-of-mline-comment): Remove functions.
14073 (prolog-find-unmatched-paren, prolog-clause-end)
14074 (prolog-guess-fill-prefix, prolog-get-predspec): Use syntax-ppss.
14075 (prolog-electric--if-then-else): Rename from
14076 prolog-insert-spaces-after-paren; use prolog-electric-if-then-else-flag.
14077 (prolog-tokenize-searchkey): Remove const.
14078 (prolog-clause-info): Use forward-sexp.
14079 (prolog-forward-list, prolog-backward-list, prolog-electric-delete)
14080 (prolog-electric-if-then-else): Remove commands.
14081 (prolog-electric--colon): Rename from prolog-electric-colon; adapt it
14082 for use in post-self-insert-hook.
14083 (prolog-electric--dash): Rename from prolog-electric-dash; adapt it
14084 for use in post-self-insert-hook.
14085 (prolog-electric--dot): Rename from prolog-electric-dot; adapt it
14086 for use in post-self-insert-hook.
14087 (prolog-electric--underscore): Rename from prolog-electric--underscore;
14088 adapt it for use in post-self-insert-hook.
14090 2013-10-25 Michael Albinus <michael.albinus@gmx.de>
14092 * emacs-lisp/ert.el (ert-run-tests-interactively):
14093 Use `completing-read'. (Bug#9756)
14095 2013-10-25 Eli Zaretskii <eliz@gnu.org>
14097 * simple.el (line-move): Call line-move-1 instead of
14098 line-move-visual when the current window hscroll is zero, but
14099 temporary-goal-column indicates we will need to hscroll as result
14100 of the movement. (Bug#15712)
14102 2013-10-25 Dmitry Gutov <dgutov@yandex.ru>
14104 * progmodes/ruby-mode.el (ruby-mode-menu): Use proper
14105 capitalization. Use :visible instead of :active.
14106 Fix `ruby-indent-exp' reference. Add menu items for the generic
14107 commands that are used with SMIE.
14108 (ruby-do-end-to-brace): Insert space after `{'.
14110 2013-10-25 John Anthony <john@jo.hnanthony.com>
14112 * progmodes/ruby-mode.el (ruby-mode-menu): Add a menu. (Bug#15600)
14114 * progmodes/inf-lisp.el (inferior-lisp-menu): Add a menu. (Bug#15599)
14116 2013-10-25 Glenn Morris <rgm@gnu.org>
14118 * vc/vc.el (vc-print-log): Don't use a working revision unless
14119 one was explicitly specified. (Bug#15322)
14121 2013-10-25 Stefan Monnier <monnier@iro.umontreal.ca>
14123 * subr.el (add-to-list): Preserve return value in compiler-macro
14126 2013-10-25 Rüdiger Sonderfeld <ruediger@c-plusplus.de>
14128 * progmodes/octave.el (octave-lookfor): Handle empty lookfor
14129 result. Ask user to retry using '-all' flag. (Bug#15701)
14131 2013-10-24 Stefan Monnier <monnier@iro.umontreal.ca>
14133 * emacs-lisp/smie.el: New smie-config system.
14134 (smie-config): New defcustom.
14135 (smie-edebug, smie-config-show-indent, smie-config-set-indent)
14136 (smie-config-guess, smie-config-save): New commands.
14137 (smie-config--mode-local, smie-config--buffer-local)
14138 (smie-config--trace, smie-config--modefuns): New vars.
14139 (smie-config--advice, smie-config--mode-hook)
14140 (smie-config--setter, smie-config-local, smie-config--get-trace)
14141 (smie-config--guess-value, smie-config--guess): New functions.
14142 (smie-indent-forward-token, smie-indent-backward-token): Don't copy
14143 text properties. Treat "string fence" syntax like string syntax.
14145 * progmodes/sh-script.el (sh-use-smie): Change default.
14146 (sh-smie-sh-rules, sh-smie-rc-rules): Obey legacy sh-indent-* vars.
14147 (sh-var-value): Simplify by CSE.
14148 (sh-show-indent, sh-set-indent, sh-learn-line-indent)
14149 (sh-learn-buffer-indent): Redirect to their SMIE equivalent when SMIE
14151 (sh-guess-basic-offset): Use cl-incf.
14152 (sh-guess-basic-offset): Use push+nreverse to avoid O(n^2).
14154 2013-10-24 Helmut Eller <eller.helmut@gmail.com>
14156 * emacs-lisp/lisp-mode.el (lisp-cl-font-lock-keywords-2): Fix cut&paste
14159 2013-10-24 Glenn Morris <rgm@gnu.org>
14161 * Makefile.in (abs_top_srcdir): Remove.
14162 (update-subdirs): Use relative path to update-subdirs.
14164 2013-10-24 Eli Zaretskii <eliz@gnu.org>
14166 * Makefile.in ($(MH_E_DIR)/mh-loaddefs.el)
14167 ($(TRAMP_DIR)/tramp-loaddefs.el, $(CAL_DIR)/cal-loaddefs.el)
14168 ($(CAL_DIR)/diary-loaddefs.el, $(CAL_DIR)/hol-loaddefs.el):
14169 Call unmsys--file-name before expand-file-name, not after it.
14171 2013-10-24 Michael Albinus <michael.albinus@gmx.de>
14173 * emacs-lisp/ert.el (ert-deftest): Bind macro `skip-unless'.
14174 (ert-test-skipped): New error.
14175 (ert-skip, ert-stats-skipped): New defuns.
14176 (ert--skip-unless): New macro.
14177 (ert-test-skipped): New struct.
14178 (ert--run-test-debugger, ert-test-result-type-p)
14179 (ert-test-result-expected-p, ert--stats, ert-stats-completed)
14180 (ert--stats-set-test-and-result, ert-char-for-test-result)
14181 (ert-string-for-test-result, ert-run-tests-batch)
14182 (ert--results-update-ewoc-hf, ert-run-tests-interactively):
14183 Handle skipped tests. (Bug#9803)
14185 2013-10-24 Glenn Morris <rgm@gnu.org>
14187 * Makefile.in (check-declare): Remove unnecessary path in -l argument.
14189 * Makefile.in (abs_top_srcdir): New, set by configure.
14190 (update-subdirs): Correct build-aux location.
14192 2013-10-24 Dmitry Gutov <dgutov@yandex.ru>
14194 * vc/vc.el (vc-print-root-log): Always set `default-directory'
14195 value, whether we could auto-deduce `backend', or not.
14197 * progmodes/ruby-mode.el (ruby-smie-rules): Fix the "curly block
14198 with parameters" example. Simplify the "is it block or is it
14199 hash" check, but also make it more thorough.
14201 2013-10-23 Masashi Fujimoto <masfj.dev@gmail.com> (tiny change)
14203 * battery.el (battery-pmset): Handle OS X Mavericks. (Bug#15694)
14205 2013-10-23 Stefan Monnier <monnier@iro.umontreal.ca>
14207 * progmodes/ruby-mode.el (ruby-smie-rules): Only align with parent of
14208 { if it is hanging.
14210 * progmodes/ruby-mode.el (ruby-smie-rules): Don't return 0 for
14213 2013-10-23 Jed Brown <jed@59A2.org> (tiny change)
14215 * progmodes/compile.el (compilation-directory-matcher)
14216 (compilation-page-delimiter):
14217 Support GNU Make-4.0 directory quoting. (Bug#15678)
14219 2013-10-23 Leo Liu <sdl.web@gmail.com>
14221 * ido.el (ido-tidy): Handle read-only text.
14223 2013-10-23 Glenn Morris <rgm@gnu.org>
14225 * Makefile.in (abs_srcdir, abs_lisp): New, set by configure.
14226 (emacs, compile, compile-always):
14227 Quote entities that might contain whitespace.
14228 (custom-deps, finder-data, autoloads): Use abs_lisp.
14229 ($(MH_E_DIR)/mh-loaddefs.el, $(TRAMP_DIR)/tramp-loaddefs.el)
14230 ($(CAL_DIR)/cal-loaddefs.el, $(CAL_DIR)/diary-loaddefs.el)
14231 ($(CAL_DIR)/hol-loaddefs.el): Manually expand target file name.
14233 2013-10-23 Dmitry Gutov <dgutov@yandex.ru>
14235 * progmodes/ruby-mode.el (ruby-smie--at-dot-call):
14236 Use `following-char'.
14238 2013-10-22 Stefan Monnier <monnier@iro.umontreal.ca>
14240 * emacs-lisp/smie.el (smie-rule-parent): Fix opener-test.
14241 * progmodes/ruby-mode.el (ruby-smie-rules):
14242 Remove corresponding workaround. Fix indentation rule of ";" so it
14243 also applies when ";" is the parent.
14245 2013-10-22 Xue Fuqiao <xfq.free@gmail.com>
14247 * frame.el (display-screens, display-pixel-height)
14248 (display-pixel-width, display-mm-width, display-backing-store)
14249 (display-save-under, display-planes, display-color-cells)
14250 (display-visual-class, display-monitor-attributes-list):
14251 Mention the optional ‘display’ argument in doc strings.
14253 2013-10-22 Michael Gauland <mikelygee@amuri.net>
14255 * progmodes/ebnf2ps.el (ebnf-prologue): Avoid PS error with some
14256 viewers such as evince when ebnf-production-name-p is nil. (Bug#15625)
14258 2013-10-21 Dmitry Gutov <dgutov@yandex.ru>
14260 * progmodes/ruby-mode.el (ruby-smie-grammar): Remove outdated
14261 TODO. Add "." after " @ ".
14262 (ruby-smie--at-dot-call): New function. Checks if point at method
14263 call with explicit target.
14264 (ruby-smie--forward-token, ruby-smie--backward-token): Prepend "."
14265 to the method name tokens when it precedes them.
14266 (ruby-smie--backward-id, ruby-smie--forward-id): Remove.
14267 (ruby-smie-rules): Add rule for indentation before and after "."
14270 2013-10-21 Stefan Monnier <monnier@iro.umontreal.ca>
14272 * textmodes/remember.el (remember-diary-extract-entries):
14275 * progmodes/ruby-mode.el (ruby-smie-rules): Indent after + used as
14278 2013-10-21 Dmitry Gutov <dgutov@yandex.ru>
14280 * progmodes/ruby-mode.el (ruby-smie-grammar):
14281 Add (almost) all infix operators.
14282 (ruby-smie--implicit-semi-p): Add new operator chars.
14284 * progmodes/ruby-mode.el (ruby-mode-map): Add binding for
14286 (ruby-smie--args-separator-p): Check that there's no newline
14287 between method call and its arguments.
14289 2013-10-20 Alan Mackenzie <acm@muc.de>
14291 Allow comma separated lists after Java "implements".
14293 * progmodes/cc-engine.el (c-backward-over-enum-header):
14295 * progmodes/cc-fonts.el (c-basic-matchers-after): Remove comma
14296 from a "disallowed" list in enum fontification.
14298 2013-10-20 Johan Bockgård <bojohan@gnu.org>
14300 * startup.el (default-frame-background-mode): Remove unused defvar.
14302 * progmodes/verilog-mode.el (verilog-mode): Don't set
14303 comment-indent-function globally.
14305 2013-10-20 Jan Djärv <jan.h.d@swipnet.se>
14307 * menu-bar.el: Put help-menu in menu-bar-final-items unconditionally.
14308 Move Info menu item creation to ns-win.el.
14310 * term/ns-win.el (ns-initialize-window-system): Rename Help to Info
14313 * menu-bar.el: Move GNUstep specific menus...
14315 * term/ns-win.el (ns-initialize-window-system): ... to here.
14317 2013-10-19 Stefan Monnier <monnier@iro.umontreal.ca>
14319 * simple.el (newline): Only run post-self-insert-hook when
14320 called interactively.
14322 2013-10-19 Johan Bockgård <bojohan@gnu.org>
14324 * icomplete.el (icomplete-with-completion-tables): Add :version.
14326 2013-10-19 Alan Mackenzie <acm@muc.de>
14328 Fix fontification bugs with constructors and const.
14330 * progmodes/cc-engine.el (c-forward-decl-or-cast-1): (Just after
14331 CASE 2) Remove the check for the absence of a suffix construct
14332 after a function declaration with only types (no identifiers) in
14333 the parentheses. Also, accept a function declaration with just a
14334 type inside the parentheses, if this type can be positively
14335 recognised as such, or if a prefix keyword like "explicit" nails
14336 down the construct as a declaration.
14338 2013-10-19 Eli Zaretskii <eliz@gnu.org>
14340 * menu-bar.el (tty-menu-navigation-map): Bind mouse-N to perform
14341 TTY menu actions and down-mouse-N to tty-menu-ignore. This solves
14342 the problem whereby selecting a menu item that leads to a
14343 minibuffer prompt moves the cursor out of the minibuffer window,
14344 making it hard to type at the prompt. Suggested by Stefan Monnier
14345 <monnier@iro.umontreal.ca>.
14347 2013-10-19 Jan Djärv <jan.h.d@swipnet.se>
14349 * menu-bar.el: Don't make Services menu.
14351 2013-10-19 Rüdiger Sonderfeld <ruediger@c-plusplus.de>
14353 * ffap.el: Handle "/usr/include/c++/<version>" directories.
14354 (ffap-alist): Use ffap-c++-mode for c++-mode.
14355 (ffap-c++-path): New variable.
14356 (ffap-c++-mode): New function.
14358 2013-10-19 Joe Vornehm Jr. <joe.vornehm@gmail.com> (tiny change)
14360 * ido.el (dired-other-frame): Only list directories. (Bug#15638)
14362 2013-10-18 Michael Albinus <michael.albinus@gmx.de>
14364 * net/tramp-smb.el (tramp-smb-maybe-open-connection): Fix an error
14365 introduced on 2013-09-08, which results in an infinite loop
14366 requesting a password.
14368 2013-10-18 Glenn Morris <rgm@gnu.org>
14370 * progmodes/verilog-mode.el (verilog-case-fold): Add :version.
14372 2013-10-18 Wilson Snyder <wsnyder@wsnyder.org>
14374 Sync with upstream verilog-mode revision 1a6ecec7.
14375 * progmodes/verilog-mode.el (verilog-mode-version): Update.
14376 (verilog-mode-release-date): Remove.
14377 (verilog-highlight-grouping-keywords, verilog-active-low-regexp)
14378 (verilog-auto-inst-param-value, verilog-auto-input-ignore-regexp)
14379 (verilog-auto-inout-ignore-regexp, verilog-auto-output-ignore-regexp)
14380 (verilog-auto-tieoff-ignore-regexp)
14381 (verilog-auto-unused-ignore-regexp, verilog-typedef-regexp)
14382 (verilog-scan-cache-preserving, verilog-mode, verilog-at-struct-p)
14383 (verilog-signals-with, verilog-dir-cache-preserving)
14384 (verilog-auto-inst, verilog-auto-inout-param, verilog-auto):
14386 (verilog-case-fold): New option, to control case folding in
14387 regexp searches, bug597.
14388 (verilog-menu): Add verilog-sk-uvm-component, minor tweaks.
14389 (verilog-string-match-fold, verilog-in-paren-count)
14390 (verilog-in-struct-nested-p, verilog-at-struct-mv-p)
14391 (verilog-at-close-struct-p): New functions.
14392 (verilog-beg-block-re-ordered, verilog-extended-case-re)
14393 (verilog-forward-sexp, verilog-set-auto-endcomments)
14394 (verilog-leap-to-case-head): Handle "unique0" case.
14395 (verilog-in-constraint-re): New constant.
14396 (verilog-keywords, verilog-type-font-keywords):
14397 Add some SystemVerilog 1800-2012 keywords.
14398 (verilog-label-be): Remove unimplemented argument, bug669.
14399 (verilog-batch-execute-func): When batch expanding clear
14400 create-lockfiles to prevent spurious user locks when a file ends
14402 (verilog-calculate-indent, verilog-calc-1)
14403 (verilog-at-close-constraint-p, verilog-at-constraint-p)
14404 (verilog-do-indent): Fix indentation of nested constraints
14406 (verilog-sig-tieoff, verilog-typedef-name-p, verilog-auto-inst)
14407 (verilog-auto-inst-param): Use verilog-string-match-fold.
14408 (verilog-read-inst-module-matcher):
14409 Fix AUTOINST on gate primitives with #1.
14410 (verilog-read-decls): Fix double-declaring user-defined typed signals.
14411 Reads all user-defined typed variables.
14412 (verilog-read-defines): Fix reading definitions inside comments, bug647.
14413 (verilog-signals-matching-regexp)
14414 (verilog-signals-not-matching-regexp, verilog-auto):
14415 Respect verilog-case-fold.
14416 (verilog-diff-report): Fix line count.
14417 (verilog-auto-assign-modport): Remove unused local `modi'.
14418 (verilog-auto-inst-port): Support [][] in AUTO_TEMPLATE to
14419 better handle multidimensional arrays.
14420 Fix packed array ports misadding bit index in AUTOINST, bug637.
14421 (verilog-auto-output, verilog-auto-input): Fix AUTOINPUT and AUTOOUTPUT
14422 to not double-declare existing outputs and inputs, respectively.
14423 (verilog-template-map): Bind U to verilog-sk-uvm-component.
14424 (verilog-sk-uvm-object): Rename from verilog-sk-uvm-class.
14425 (verilog-sk-uvm-component): New skeleton.
14426 (verilog-submit-bug-report): Add verilog-case-fold,
14427 remove verilog-mode-release-date.
14429 2013-10-17 Barry O'Reilly <gundaetiapo@gmail.com>
14431 * subr.el (sit-for): Call (input-pending-p t) so as to behave
14434 2013-10-18 Reuben Thomas <rrt@sc3d.org>
14436 * textmodes/remember.el (remember): Set buffer-offer-save in
14437 remember buffers (bug#13566).
14439 2013-10-18 Daniel Colascione <dancol@dancol.org>
14441 When evaluating forms in ielm, direct standard output to ielm
14442 buffer. Add new ielm-return-for-effect command. Remove trailing
14443 whitespace throughout.
14445 * ielm.el (ielm-map): Bind M-RET to ielm-return-for-effect.
14446 (ielm-return-for-effect): New command.
14447 (ielm-send-input): Accept optional `for-effect' parameter.
14448 (ielm-eval-input): Accept optional `for-effect' parameter.
14449 Bind `standard-output' to stream we create using
14450 `ielm-standard-output-impl'. Suppress printing result when
14452 (ielm-standard-output-impl): New function.
14453 (inferior-emacs-lisp-mode): Explain new features in documentation.
14455 2013-10-17 Michael Albinus <michael.albinus@gmx.de>
14459 * net/tramp.el (tramp-debug-message): Do not check for connection
14461 (tramp-message): Use "vector" connection property.
14463 * net/tramp.el (tramp-rfn-eshadow-update-overlay)
14464 (tramp-equal-remote, tramp-eshell-directory-change)
14465 * net/tramp-adb.el (tramp-adb-handle-copy-file)
14466 (tramp-adb-handle-rename-file)
14467 * net/tramp-cmds.el (tramp-list-remote-buffers)
14468 (tramp-cleanup-connection, tramp-cleanup-this-connection)
14469 * net/tramp-compat.el (tramp-compat-process-running-p)
14470 * net/tramp-ftp.el (tramp-ftp-file-name-handler)
14471 * net/tramp-gvfs.el (tramp-gvfs-handle-copy-file)
14472 (tramp-gvfs-handle-rename-file)
14473 * net/tramp-sh.el (tramp-sh-handle-set-file-times)
14474 (tramp-set-file-uid-gid)
14475 * net/tramp-smb.el (tramp-smb-handle-copy-file)
14476 (tramp-smb-handle-rename-file): Use `tramp-tramp-file-p' instead
14477 of `file-remote-p'.
14479 * net/tramp.el (tramp-connectable-p, tramp-handle-file-remote-p)
14480 * net/tramp-gw.el (tramp-gw-gw-proc-sentinel)
14481 (tramp-gw-aux-proc-sentinel, tramp-gw-process-filter)
14482 (tramp-gw-open-network-stream): Suppress unrelated traces.
14484 * net/tramp-adb.el (tramp-adb-maybe-open-connection)
14485 * net/tramp-gvfs.el (tramp-gvfs-handle-file-notify-add-watch)
14486 * net/tramp-sh.el (tramp-do-copy-or-rename-file-out-of-band)
14487 * net/tramp-smb.el (tramp-smb-maybe-open-connection): Set "vector"
14488 connection property.
14490 * net/tramp-cache.el (top): Suppress traces when reading
14493 * net/tramp-sh.el (tramp-sh-handle-file-notify-add-watch):
14494 Refactor common code. Improve debug message.
14495 (tramp-maybe-open-connection)
14496 * net/tramp-smb.el (tramp-smb-call-winexe): Do not request
14497 connection buffer too early.
14499 * net/tramp-smb.el (tramp-smb-actions-get-acl): New defconst, renamed
14500 from `tramp-smb-actions-with-acl'.
14501 (tramp-smb-actions-set-acl): New defconst.
14502 (tramp-smb-handle-copy-directory)
14503 (tramp-smb-action-get-acl): New defun, renamed from
14504 `tramp-smb-action-with-acl'.
14505 (tramp-smb-action-set-acl): New defun.
14506 (tramp-smb-handle-set-file-acl): Rewrite.
14508 2013-10-17 Glenn Morris <rgm@gnu.org>
14510 * indent.el (indent-rigidly): Fix 2013-10-08 change. (Bug#15635)
14512 2013-10-17 Stefan Monnier <monnier@iro.umontreal.ca>
14514 * skeleton.el (skeleton-newline): Remove.
14515 (skeleton-internal-1): Use (insert "\n") instead.
14517 * emacs-lisp/lisp.el (lisp-completion-at-point): Complete var names for
14520 * progmodes/sh-script.el (sh-find-prev-matching): Disable SMIE's
14521 forward-sexp-function while we redo its job (bug#15613).
14523 2013-10-17 Jay Belanger <jay.p.belanger@gmail.com>
14525 * calc/calc-comb.el (math-prime-test): Don't assume large integers are
14526 represented by lists.
14528 2013-10-16 Glenn Morris <rgm@gnu.org>
14530 * tmm.el (tmm--history): New dynamic variable.
14531 (tmm-prompt): Use tmm--history in place of `history'. (Bug#15623)
14533 2013-10-16 Michael Albinus <michael.albinus@gmx.de>
14535 * net/tramp-smb.el (tramp-smb-acl-program): New customer option.
14536 (tramp-smb-errors): Add error messages.
14537 (tramp-smb-actions-with-acl): New defconst.
14538 (tramp-smb-file-name-handler-alist) <set-file-acl>: Add handler.
14539 (tramp-smb-action-with-acl, tramp-smb-handle-set-file-acl): New defuns.
14540 (tramp-smb-handle-file-acl): Rewrite, using "smbcacls".
14541 (tramp-smb-handle-file-attributes): Simplify test for "stat" capability.
14542 (tramp-smb-get-stat-capability): Fix tests.
14544 2013-10-16 Dima Kogan <dima@secretsauce.net> (tiny change)
14546 * progmodes/subword.el (subword-capitalize): Fix Stefan's mess
14549 2013-10-16 Glenn Morris <rgm@gnu.org>
14551 * ansi-color.el (ansi-color-drop-regexp):
14552 Add 1J, 1K, 2K. (Bug#15617)
14554 * files.el (hack-local-variables--warned-lexical): New.
14555 (hack-local-variables):
14556 Warn about misplaced lexical-binding. (Bug#15616)
14558 * net/eww.el (eww-render): Always set eww-current-url,
14559 and update header line. (Bug#15622)
14560 (eww-display-html): ... Rather than just doing it here.
14562 2013-10-15 Eli Zaretskii <eliz@gnu.org>
14564 * menu-bar.el (tty-menu-navigation-map): Bind mouse wheels to TTY
14565 menu navigations commands.
14567 2013-10-14 Dima Kogan <dima@secretsauce.net> (tiny change)
14569 * progmodes/subword.el (subword-capitalize): Be careful when
14570 the search for [[:alpha:]] fails (bug#15580).
14572 2013-10-14 Eli Zaretskii <eliz@gnu.org>
14574 * menu-bar.el (tty-menu-navigation-map): Bind shifted mouse clicks
14575 to commands that scroll the menu.
14577 2013-10-14 Dmitry Gutov <dgutov@yandex.ru>
14579 * progmodes/ruby-mode.el (ruby-smie--args-separator-p):
14580 Handle methods ending with `?' and `!'.
14582 2013-10-14 Akinori MUSHA <knu@iDaemons.org>
14584 * progmodes/ruby-mode.el (ruby-encoding-map): Add a mapping from
14585 `japanese-cp932' to `cp932' to fix the problem where saving a
14586 source file written in Shift_JIS twice would end up having
14587 `coding: japanese-cp932' which Ruby could not recognize.
14588 (ruby-mode-set-encoding): Add support for encodings mapped to nil
14589 in `ruby-encoding-map'.
14590 (ruby-encoding-map): Map `us-ascii' to nil by default, meaning it
14591 doesn't need to be explicitly declared in magic comment.
14592 (ruby-encoding-map): Add type declaration for better customize UI.
14594 2013-10-13 Glenn Morris <rgm@gnu.org>
14596 * progmodes/sh-script.el (sh-mark-line, sh-learn-buffer-indent):
14597 Occur buffers are read-only. http://bugs.debian.org/720775
14599 * emacs-lisp/authors.el (authors-fixed-entries):
14600 Comment out old alpha stuff.
14602 2013-10-13 Dmitry Gutov <dgutov@yandex.ru>
14604 * progmodes/ruby-mode.el (ruby-mode): Add `ruby-mode-set-encoding'
14605 to `after-save-hook' instead of `before-save-hook'.
14606 (ruby-mode-set-encoding): Use the value of coding system used to
14607 write the file. Call `basic-save-buffer-1' after modifying the
14610 2013-10-13 Alan Mackenzie <acm@muc.de>
14612 Fix indentation/fontification of Java enum with
14613 "implements"/generic.
14615 * progmodes/cc-engine.el (c-backward-over-enum-header):
14616 Extracted from the three other places and enhanced to handle generics.
14617 (c-inside-bracelist-p): Uses new function above.
14618 * progmodes/cc-fonts.el (c-font-lock-declarations): Uses new
14620 (c-font-lock-enum-tail): Uses new function above.
14622 2013-10-13 Kenichi Handa <handa@gnu.org>
14624 * international/mule-cmds.el (select-safe-coding-system): Remove a
14625 superfluous condition in chekcing whether a coding system is safe
14628 2013-10-13 Oleh Krehel <ohwoeowho@gmail.com>
14630 * replace.el (how-many): Fix rstart and !rend case. (Bug#15589)
14632 2013-10-13 Andreas Politz <politza@hochschule-trier.de>
14634 * progmodes/sql.el (sql-add-product): Fix paren typo. (Bug#15435)
14636 2013-10-13 Glenn Morris <rgm@gnu.org>
14638 * menu-bar.el (menu-bar-update-buffers):
14639 Unify Buffers menu prompt string. (Bug#15576)
14641 * face-remap.el (text-scale-adjust): Doc fix. (Bug#15434)
14643 * emacs-lisp/authors.el (authors-aliases, authors-ignored-files):
14645 (authors-fixed-entries): Use accented form of name.
14647 2013-10-12 Stefan Monnier <monnier@iro.umontreal.ca>
14649 * progmodes/ruby-mode.el (ruby-smie-grammar): Add rule for paren-free
14650 method calls (bug#15594).
14651 (ruby-smie--args-separator-p): New function.
14652 (ruby-smie--forward-token, ruby-smie--backward-token): Use it to
14653 recognize paren-free method calls.
14655 * isearch.el (isearch-pre-command-hook): Don't build in knowledge about
14656 internals of universal-argument.
14658 2013-10-11 Eli Zaretskii <eliz@gnu.org>
14660 * menu-bar.el (tty-menu-navigation-map): Remap F10 to tty-menu-exit.
14661 Bind all menu-bar sequences to tty-menu-exit -- this pops down a
14662 dropped menu on second mouse click on the menu bar.
14664 2013-10-11 Stefan Monnier <monnier@iro.umontreal.ca>
14666 * progmodes/sh-script.el: Provide simpl(e|istic) completion.
14667 (explicit-shell-file-name): Declare.
14668 (sh--vars-before-point, sh--cmd-completion-table): New functions.
14669 (sh-completion-at-point-function): New function.
14671 (sh-smie--keyword-p): Remove unused argument.
14672 (sh-smie-sh-backward-token, sh-smie-rc-backward-token): Remove unused
14674 (sh-set-shell): Always setup SMIE, even if we use the
14675 old indentation code.
14677 2013-10-11 Dmitry Gutov <dgutov@yandex.ru>
14679 * progmodes/ruby-mode.el (ruby-smie--implicit-semi-p): Split the
14681 (ruby-smie-rules): Simplify the "do" rule. The cases when the
14682 predicate would return nil are almost non-existent.
14683 (ruby-smie--redundant-do-p): Include "until" and "for" statements.
14685 * emacs-lisp/smie.el (smie--matching-block-data): Invalidate the
14686 cache also after commands that modify the buffer but don't move
14689 2013-10-10 Stefan Monnier <monnier@iro.umontreal.ca>
14691 * env.el (substitute-env-in-file-name): New function.
14692 (substitute-env-vars): Extend the meaning of the optional arg.
14694 2013-10-10 Eli Zaretskii <eliz@gnu.org>
14696 * term/w32-win.el (dynamic-library-alist): Define separate lists
14697 of GIF DLLs for versions before and after 5.0.0 of giflib.
14700 2013-10-10 João Távora <joaotavora@gmail.com>
14702 * vc/vc.el (vc-diff-build-argument-list-internal): If the file is
14703 not locked, use last revision and current source as
14704 defaults. (Bug#15569)
14706 2013-10-10 Masatake YAMATO <yamato@redhat.com>
14708 * menu-bar.el (menu-bar-open): Don't use popup-menu if
14709 menu-bar is hidden.
14711 2013-10-10 Martin Rudalics <rudalics@gmx.at>
14713 * window.el (pop-to-buffer-same-window): Fix doc-string.
14716 2013-10-10 Stefan Monnier <monnier@iro.umontreal.ca>
14718 * menu-bar.el (tty-menu-navigation-map): Reduce redundancy.
14720 2013-10-10 Andrei Chițu <andrei.chitu1@gmail.com> (tiny change)
14722 * calendar/icalendar.el (icalendar-import-file):
14723 Fix interactive spec. (Bug#15482)
14725 2013-10-10 Glenn Morris <rgm@gnu.org>
14727 * desktop.el (desktop-save): Default to saving in .emacs.d,
14728 since PWD is no longer in desktop-path by default. (Bug#15319)
14730 * menu-bar.el (menu-bar-options-menu): Remove text-mode auto-fill,
14731 now that text mode has a menu with the same entry.
14732 (menu-bar-text-mode-auto-fill): Remove now unused func.
14733 * textmodes/text-mode.el (text-mode-map):
14734 Use auto-fill help text from menu-bar.el.
14736 2013-10-10 John Anthony <john@jo.hnanthony.com>
14738 * textmodes/text-mode.el (text-mode-map): Add a menu. (Bug#15562)
14740 2013-10-09 Juri Linkov <juri@jurta.org>
14742 * isearch.el (isearch-pre-command-hook): Use this-single-command-keys
14743 instead of this-command-keys. Add universal-argument-more and
14744 universal-argument-minus to the list of prefix commands. (Bug#15568)
14746 2013-10-09 Glenn Morris <rgm@gnu.org>
14748 * vc/vc-svn.el (vc-svn-create-repo):
14749 Expand paths in file://... url. (Bug#15446)
14751 * emacs-lisp/authors.el (authors-aliases, authors-fixed-case):
14753 (authors): Remove unused local variables.
14755 2013-10-09 Stefan Monnier <monnier@iro.umontreal.ca>
14757 * profiler.el: Create a more coherent calltree from partial backtraces.
14758 (profiler-format): Hide the tail with `invisible' so that C-s can still
14759 find the hidden elements.
14760 (profiler-calltree-depth): Don't recurse so enthusiastically.
14761 (profiler-function-equal): New hash-table-test.
14762 (profiler-calltree-build-unified): New function.
14763 (profiler-calltree-build): Use it.
14764 (profiler-report-make-name-part): Indent the calltree less.
14765 (profiler-report-mode): Add visibility specs for profiler-format.
14766 (profiler-report-expand-entry, profiler-report-toggle-entry):
14767 Expand the whole subtree when provided with a prefix arg.
14769 2013-10-09 Dmitry Gutov <dgutov@yandex.ru>
14771 * progmodes/ruby-mode.el (ruby-smie-rules): Indent after hanging
14773 (ruby-smie--implicit-semi-p): Prohibit implicit semicolon after
14774 hanging iuwu-mod token.
14775 (ruby-smie--forward-token): Do not include a dot after a token in
14777 (ruby-smie--backward-token): Likewise.
14779 2013-10-08 Juri Linkov <juri@jurta.org>
14781 * isearch.el (isearch-help-map, isearch-mode-map): Don't bind [t]
14782 to isearch-other-control-char.
14783 (isearch-mode): Add isearch-pre-command-hook to pre-command-hook
14784 and isearch-post-command-hook to post-command-hook.
14785 (isearch-done): Remove isearch-pre-command-hook from pre-command-hook
14786 and isearch-post-command-hook from post-command-hook.
14787 (isearch-unread-key-sequence)
14788 (isearch-reread-key-sequence-naturally)
14789 (isearch-lookup-scroll-key, isearch-other-control-char)
14790 (isearch-other-meta-char): Remove functions.
14791 (isearch-pre-command-hook, isearch-post-command-hook):
14792 New functions based on isearch-other-meta-char rewritten
14793 relying on the new behavior of overriding-terminal-local-map
14794 that does not replace the local keymaps any more. (Bug#15200)
14796 2013-10-08 Eli Zaretskii <eliz@gnu.org>
14798 Support menus on text-mode terminals.
14799 * tmm.el (tmm-menubar): Adapt doc string to TTY menus
14802 * tooltip.el (tooltip-mode): Don't error out on TTYs.
14804 * menu-bar.el (popup-menu, popup-menu-normalize-position):
14805 Move here from mouse.el.
14806 (popup-menu): Support menu-bar navigation on TTYs using C-f/C-b
14808 (tty-menu-navigation-map): New map for TTY menu navigation.
14810 * loadup.el ("tooltip"): Load even if x-show-tip is not available.
14812 * frame.el (display-mouse-p): Report text-mode mouse as available
14814 (display-popup-menus-p): Report availability if mouse is
14815 available; don't condition on window-system.
14817 * faces.el (tty-menu-enabled-face, tty-menu-disabled-face)
14818 (tty-menu-selected-face): New faces.
14820 2013-10-08 Stefan Monnier <monnier@iro.umontreal.ca>
14822 * emacs-lisp/lisp-mode.el: Font-lock cl-lib constructs.
14823 (lisp-el-font-lock-keywords, lisp-el-font-lock-keywords-1)
14824 (lisp-el-font-lock-keywords-2, lisp-cl-font-lock-keywords)
14825 (lisp-cl-font-lock-keywords-1, lisp-cl-font-lock-keywords-2):
14827 (lisp-mode-variables): New `elisp' argument.
14828 (emacs-lisp-mode): Use it.
14829 * font-lock.el (lisp-font-lock-keywords, lisp-font-lock-keywords-1)
14830 (lisp-font-lock-keywords-2): Move to lisp-mode.el.
14832 * indent.el: Use lexical-binding.
14833 (indent-region): Add progress reporter.
14834 (tab-stop-list): Make it implicitly extend to infinity by repeating the
14836 (indent--next-tab-stop): New function to implement this behavior.
14837 (tab-to-tab-stop, move-to-tab-stop): Use it.
14839 2013-10-08 Teemu Likonen <tlikonen@iki.fi>
14841 * indent.el (indent-rigidly--current-indentation): New function.
14842 (indent-rigidly-map): New var.
14843 (indent-rigidly): Use it to provide interactive mode (bug#8196).
14845 2013-10-08 Bastien Guerry <bzg@gnu.org>
14847 * register.el (insert-register): Fix 2013-10-07 change.
14849 2013-10-08 Stefan Monnier <monnier@iro.umontreal.ca>
14851 * progmodes/perl-mode.el: Use lexical-binding.
14852 Remove redundant :group args.
14853 (perl-nochange): Change default to be closer to other major modes's
14855 (perl-indent-line): Don't consider text on current line as a
14856 valid beginning of function from which to indent.
14858 * emacs-lisp/backquote.el (backquote-process): Catch uses of , and ,@
14859 with more than one argument (bug#15538).
14861 * mpc.el (mpc-songs-jump-to): Adjust to different playlist format.
14863 * vc/pcvs.el: Use lexical-binding.
14864 (cvs-temp-buffer, cvs-make-cvs-buffer): Pass some vars in the lexical
14865 environment of `eval'.
14866 (cvs-mode-run, cvs-mode-do): Change `postproc' to be a function rather
14867 than a list of expressions. Adjust callers.
14868 * vc/pcvs-defs.el (cvs-postprocess): Remove, unused.
14870 2013-10-07 Dmitry Gutov <dgutov@yandex.ru>
14872 * progmodes/ruby-mode.el (ruby-smie--implicit-semi-p): Handle the
14873 case of the dot in a chained method call being on the following line.
14875 2013-10-07 Stefan Monnier <monnier@iro.umontreal.ca>
14877 * electric.el (electric-indent-inhibit): New var.
14878 (electric-indent-post-self-insert-function): Use it.
14879 * progmodes/python.el (python-mode): Set it.
14881 * progmodes/ruby-mode.el (ruby-smie-rules): Tweak handling of
14884 * emacs-lisp/smie.el (smie-next-sexp): Refine last fix.
14886 * textmodes/css-mode.el (css-smie-rules): Fix indentation (bug#15467).
14887 (css-mode): Use electric-indent-chars.
14889 * nxml/nxml-mode.el: Use lexical-binding and syntax-propertize.
14890 (font-lock-beg, font-lock-end): Move before first use.
14891 (nxml-mode): Use syntax-propertize-function.
14892 (nxml-after-change, nxml-after-change1): Adjust accordingly.
14893 (nxml-extend-after-change-region): Remove.
14894 * nxml/xmltok.el: Use lexical-binding.
14895 (xmltok-save): Use `declare'.
14896 (xmltok-unclosed-reparse-p, xmltok-semi-closed-reparse-p): Remove.
14897 * nxml/nxml-util.el: Use lexical-binding.
14898 (nxml-with-degradation-on-error, nxml-with-invisible-motion):
14900 * nxml/nxml-ns.el: Use lexical-binding.
14901 (nxml-ns-save): Use `declare'.
14902 (nxml-ns-prefixes-for): Avoid add-to-list.
14903 * nxml/rng-match.el: Use lexical-binding.
14904 (rng--ipattern): Use cl-defstruct.
14905 (rng-compute-start-tag-open-deriv, rng-compute-start-attribute-deriv)
14906 (rng-cons-group-after, rng-subst-group-after)
14907 (rng-subst-interleave-after, rng-apply-after, rng-compute-data-deriv):
14908 Use closures instead of `(lambda...).
14910 2013-10-07 Michael Albinus <michael.albinus@gmx.de>
14912 * net/tramp.el (tramp-handle-insert-file-contents): Improve handling
14915 * net/tramp-gvfs.el (tramp-gvfs-file-name-handler-alist):
14916 Use `tramp-handle-insert-file-contents'.
14917 (tramp-gvfs-handle-insert-file-contents): Remove function.
14919 * net/tramp-sh.el (tramp-sh-handle-insert-directory):
14920 Use `save-restriction' in order to keep markers.
14922 * net/trampver.el: Update release number.
14924 2013-10-07 Stefan Monnier <monnier@iro.umontreal.ca>
14926 * progmodes/compile.el (compilation-parse-errors):
14927 Use compilation--put-prop.
14928 (compilation--ensure-parse): Check compilation-multiline.
14930 * emacs-lisp/easymenu.el (easy-menu-create-menu): Use closures.
14932 * emacs-lisp/lisp-mode.el (eval-defun-2): Simplify, using
14935 * emacs-lisp/tq.el (tq-create): Use a closure instead of `(lambda...).
14937 * progmodes/ruby-mode.el: Fix recently added tests.
14938 (ruby-smie-grammar): Add - and +.
14939 (ruby-smie--redundant-do-p, ruby-smie--forward-id)
14940 (ruby-smie--backward-id): New functions.
14941 (ruby-smie--forward-token, ruby-smie--backward-token): Use them.
14942 (ruby-smie-rules): Handle hanging do. Get rid of hack, not needed
14945 2013-10-07 Leo Liu <sdl.web@gmail.com>
14947 * register.el (register-preview-delay)
14948 (register-preview-functions): New variables.
14949 (register-read-with-preview, register-preview)
14950 (register-describe-oneline): New functions.
14951 (point-to-register, window-configuration-to-register)
14952 (frame-configuration-to-register, jump-to-register)
14953 (number-to-register, view-register, insert-register)
14954 (copy-to-register, append-to-register, prepend-to-register)
14955 (copy-rectangle-to-register): Use register-read-with-preview to
14956 read register. (Bug#15525)
14958 2013-10-06 Dato Simó <dato@net.com.org.es> (tiny change)
14960 * net/network-stream.el (network-stream-open-starttls): Don't add
14961 --insecure if it's already present, because that gnutls-cli
14962 rejects getting that parameter twice.
14964 2013-10-06 Dmitry Gutov <dgutov@yandex.ru>
14966 * progmodes/ruby-mode.el (ruby-smie-rules): Dedent `ensure'
14969 2013-10-05 Dmitry Gutov <dgutov@yandex.ru>
14971 * newcomment.el (comment-use-global-state): Change default value
14972 to t, mark obsolete (Bug#15251).
14973 (comment-beginning): In addition to `comment-to-syntax', check the
14974 value of `comment-use-global-state'.
14976 2013-10-05 Stefan Monnier <monnier@iro.umontreal.ca>
14978 * progmodes/ruby-mode.el (ruby-use-smie): Change default.
14979 (ruby-comment-column): Follow the global default, by default.
14980 (ruby-smie-grammar): Add assignment syntax.
14981 (ruby-smie--implicit-semi-p): No implicit semi-colon after an
14982 open-paren, a comma, or a \.
14983 (ruby-smie--forward-token, ruby-smie--backward-token): Handle heredocs,
14984 and line continuations.
14985 (ruby-smie-rules): Adjust handling of open-paren, now that it's never
14986 followed by implicit semi-colons. Add rule for string concatenation
14987 and for indentation at BOB.
14988 (ruby-forward-sexp, ruby-backward-sexp): Adjust for when SMIE is in use.
14990 * emacs-lisp/smie.el (smie-next-sexp): Don't go back to pos before
14991 calling next-sexp, since next-token may have skipped chars which
14992 next-sexp doesn't know should be skipped!
14994 2013-10-05 Leo Liu <sdl.web@gmail.com>
14996 * progmodes/octave.el (octave-send-region):
14997 Call compilation-forget-errors.
14999 2013-10-04 Xue Fuqiao <xfq.free@gmail.com>
15001 * vc/vc-svn.el (vc-svn-find-admin-dir):
15002 * vc/vc-rcs.el (vc-rcs-find-admin-dir):
15003 * vc/vc-mtn.el (vc-mtn-find-admin-dir):
15004 * vc/vc-cvs.el (vc-cvs-find-admin-dir):
15005 * vc/vc-arch.el (vc-arch-find-admin-dir): New functions.
15007 2013-10-04 Stefan Monnier <monnier@iro.umontreal.ca>
15009 * textmodes/css-mode.el (css-smie-rules): Toplevel's a list (bug#15467).
15011 2013-10-04 Stefan Monnier <monnier@iro.umontreal.ca>
15013 * subr.el (read-passwd): Hide chars even when called within a context
15014 where after-change-functions is disabled (bug#15501).
15015 (set-temporary-overlay-map): Don't remove oneself from pre-command-hook
15016 until we removed ourself from overriding-terminal-local-map.
15018 2013-10-04 Leo Liu <sdl.web@gmail.com>
15020 * progmodes/octave.el (inferior-octave-mode):
15021 Call compilation-forget-errors.
15023 2013-10-04 Xue Fuqiao <xfq.free@gmail.com>
15025 * emacs-lisp/syntax.el (syntax-ppss): Doc fix.
15027 2013-10-04 Michael Albinus <michael.albinus@gmx.de>
15029 * net/secrets.el (secrets-create-collection): Add optional
15030 argument ALIAS. Use proper Label keyword. Append ALIAS as
15031 dbus-call-method argument. (Bug#15516)
15033 2013-10-04 Leo Liu <sdl.web@gmail.com>
15035 * progmodes/octave.el (inferior-octave-error-regexp-alist)
15036 (inferior-octave-compilation-font-lock-keywords): New variables.
15037 (compilation-error-regexp-alist)
15038 (compilation-mode-font-lock-keywords): Defvar to pacify compiler.
15039 (inferior-octave-mode): Use compilation-shell-minor-mode.
15041 2013-10-04 Jorgen Schaefer <forcer@forcix.cx>
15043 * minibuffer.el (completion--replace): Be careful that `end' might be
15046 2013-10-03 Daiki Ueno <ueno@gnu.org>
15048 Add support for package signature checking.
15049 * emacs-lisp/package.el (url-http-file-exists-p)
15050 (epg-make-context, epg-context-set-home-directory)
15051 (epg-verify-string, epg-context-result-for)
15052 (epg-signature-status, epg-signature-to-string)
15053 (epg-check-configuration, epg-configuration)
15054 (epg-import-keys-from-file): Declare.
15055 (package-check-signature): New user option.
15056 (package-unsigned-archives): New user option.
15057 (package-desc): Add `signed' field.
15058 (package-load-descriptor): Set `signed' field if .signed file exists.
15059 (package--archive-file-exists-p): New function.
15060 (package--check-signature): New function.
15061 (package-install-from-archive): Check package signature.
15062 (package--download-one-archive): Check archive signature.
15063 (package-delete): Remove .signed file.
15064 (package-import-keyring): New command.
15065 (package-refresh-contents): Import default keyring.
15066 (package-desc-status): Add "unsigned" status.
15067 (describe-package-1, package-menu--print-info)
15068 (package-menu-mark-delete, package-menu--find-upgrades)
15069 (package-menu--status-predicate): Support "unsigned" status.
15071 2013-10-03 Stefan Monnier <monnier@iro.umontreal.ca>
15073 * emacs-lisp/cconv.el (cconv-convert, cconv-analyse-form): Adjust for
15074 the new compilation scheme using the new byte-codes.
15076 * emacs-lisp/bytecomp.el (byte-pushcatch, byte-pushconditioncase)
15077 (byte-pophandler): New byte codes.
15078 (byte-goto-ops): Adjust accordingly.
15079 (byte-compile--use-old-handlers): New var.
15080 (byte-compile-catch): Use new byte codes depending on
15081 byte-compile--use-old-handlers.
15082 (byte-compile-condition-case--old): Rename from
15083 byte-compile-condition-case.
15084 (byte-compile-condition-case--new): New function.
15085 (byte-compile-condition-case): New function that dispatches depending
15086 on byte-compile--use-old-handlers.
15087 (byte-compile-unwind-protect): Pass a function to byte-unwind-protect
15090 * emacs-lisp/byte-opt.el (byte-optimize-form-code-walker):
15091 Optimize under `condition-case' and `catch' if
15092 byte-compile--use-old-handlers is nil.
15093 (disassemble-offset): Handle new bytecodes.
15095 2013-10-03 Stefan Monnier <monnier@iro.umontreal.ca>
15097 * subr.el (error): Use `declare'.
15098 (decode-char, encode-char): Use advertised-calling-convention instead
15099 of the docstring to discourage use of the `restriction' arg.
15101 2013-10-03 Daiki Ueno <ueno@gnu.org>
15103 * epg.el (epg-verify-file): Add a comment saying that it does not
15104 notify verification error as a return value nor a signal.
15105 (epg-verify-string): Ditto.
15107 2013-10-02 Kevin Rodgers <kevin.d.rodgers@gmail.com>
15109 * progmodes/compile.el (compilation-start): Try globbing the arg to
15112 2013-10-02 Michael Albinus <michael.albinus@gmx.de>
15114 Sync with Tramp 2.2.8.
15116 * net/tramp-cmds.el (tramp-bug, tramp-append-tramp-buffers):
15117 * net/tramp-cache.el (tramp-cache-print): Use `tramp-compat-funcall'.
15118 * net/trampver.el: Update release number.
15120 2013-10-01 Jan Djärv <jan.h.d@swipnet.se>
15122 * term/ns-win.el (ns-initialize-window-system): Set locale-coding-system
15123 and default-process-coding-system for darwin only.
15125 2013-10-01 Stefan Monnier <monnier@iro.umontreal.ca>
15127 * emacs-lisp/package.el (package-desc): Simplify (bug#15495).
15129 2013-10-01 Mitchel Humpherys <mitch.special@gmail.com> (tiny change)
15131 * vc/vc-git.el (vc-git-grep): Disable pager.
15133 2013-10-01 Dmitry Gutov <dgutov@yandex.ru>
15135 * emacs-lisp/package.el (package-buffer-info, describe-package-1):
15136 Use :url instead of :homepage, as per
15137 http://lists.gnu.org/archive/html/emacs-devel/2013-09/msg00622.html
15139 * newcomment.el (comment-beginning): When `comment-use-syntax' is
15140 non-nil, use `syntax-ppss' (Bug#15251).
15142 2013-09-30 Rüdiger Sonderfeld <ruediger@c-plusplus.de>
15144 * progmodes/octave.el (inferior-octave-startup-file):
15145 Prefer ~/.emacs.d/init_octave.m.
15147 2013-09-29 Dmitry Gutov <dgutov@yandex.ru>
15149 * emacs-lisp/package.el (package-desc-from-define):
15150 Accept additional arguments as plist, convert them to an alist and store
15151 them in the `extras' slot.
15152 (package-generate-description-file): Convert extras alist back to
15153 plist and append to the `define-package' form arguments.
15154 (package--alist-to-plist): New function.
15155 (package--ac-desc): Add `extras' slot.
15156 (package--add-to-archive-contents): Check if the archive-contents
15157 vector is long enough, and if it is, pass its `extras' slot value
15158 to `package-desc-create'.
15159 (package-buffer-info): Call `lm-homepage', pass the returned value
15160 to `package-desc-from-define'.
15161 (describe-package-1): Render the homepage button (Bug#13291).
15163 * emacs-lisp/package-x.el (package-upload-buffer-internal):
15164 Pass `extras' slot from `package-desc' to `package-make-ac-desc'.
15166 2013-09-29 Jan Djärv <jan.h.d@swipnet.se>
15168 * term/ns-win.el (ns-initialize-window-system): Set locale-coding-system
15169 and default-process-coding-system to utf-8-unix (Bug#15402).
15171 2013-09-29 Xue Fuqiao <xfq.free@gmail.com>
15173 * subr.el (looking-back): Do not recommend using looking-back.
15175 2013-09-28 Alan Mackenzie <acm@muc.de>
15177 Fix indentation/fontification of Java enum with "implements".
15179 * progmodes/cc-langs.el (c-postfix-decl-spec-key): New variable, a
15180 regexp which matches "implements", etc., in Java.
15181 * progmodes/cc-engine.el (c-inside-bracelist-p): Check for extra
15182 specifier clauses coming after "enum".
15183 * progmodes/cc-fonts.el (c-font-lock-declarations)
15184 (c-font-lock-enum-tail): Check for extra specifier clauses coming
15187 2013-09-28 Jan Djärv <jan.h.d@swipnet.se>
15189 * faces.el (region): Change ns_selection_color to
15190 ns_selection_fg_color, add ns_selection_bg_color.
15192 2013-09-28 Leo Liu <sdl.web@gmail.com>
15194 * progmodes/octave.el (inferior-octave-completion-table)
15195 (inferior-octave-completion-at-point): Minor tweaks.
15197 * textmodes/ispell.el (ispell-lookup-words): Rename from
15198 lookup-words. (Bug#15460)
15199 (lookup-words): Obsolete.
15200 (ispell-complete-word, ispell-command-loop): All uses changed.
15202 2013-09-28 Rüdiger Sonderfeld <ruediger@c-plusplus.de>
15204 * progmodes/octave.el (octave-mode-map): Bind octave-send-buffer.
15205 (octave-mode-menu): Add octave-send-buffer.
15206 (octave-send-buffer): New function.
15208 2013-09-28 Rüdiger Sonderfeld <ruediger@c-plusplus.de>
15210 * progmodes/octave.el (octave-mode-map): Add key binding for
15212 (octave-mode-menu): Add octave-lookfor.
15213 (inferior-octave-mode-map, octave-help-mode-map): Bind C-ha to
15215 (octave-lookfor): New function.
15217 2013-09-28 Stefan Monnier <monnier@iro.umontreal.ca>
15219 * emacs-lisp/cl-macs.el (cl--loop-destr-temps): Remove.
15220 (cl--loop-iterator-function): Rename from cl--loop-map-form and change
15222 (cl--loop-set-iterator-function): New function.
15223 (cl-loop): Adjust accordingly, so as not to use cl-subst.
15224 (cl--parse-loop-clause): Adjust all uses of cl--loop-map-form.
15225 Bind `it' with `let' instead of substituting it with `cl-subst'.
15226 (cl--unused-var-p): New function.
15227 (cl--loop-let): Don't use the cl--loop-destr-temps hack any more.
15228 Eliminate some unused variable warnings (bug#15326).
15230 2013-09-27 Tassilo Horn <tsdh@gnu.org>
15232 * doc-view.el (doc-view-scale-reset): Rename from
15233 `doc-view-reset-zoom-level'.
15234 (doc-view-scale-adjust): New command.
15235 (doc-view-mode-map): Remap `text-scale-adjust' bindings to
15236 `doc-view-scale-adjust'.
15238 2013-09-26 Tassilo Horn <tsdh@gnu.org>
15240 * doc-view.el (doc-view-reset-zoom-level): New command.
15241 (doc-view-mode-map): Remap text-scale-adjust bindings to doc-view
15242 zoom commands (bug#15466).
15244 2013-09-26 Kenichi Handa <handa@gnu.org>
15246 * international/quail.el (quail-help): Make it not a command.
15248 2013-09-26 Leo Liu <sdl.web@gmail.com>
15250 * minibuffer.el (completion-all-sorted-completions): Make args
15251 optional as they are.
15253 2013-09-25 Daniel Colascione <dancol@dancol.org>
15255 * emacs-lisp/cl-macs.el (cl-type-spec): Tell edebug what type
15256 specs are and that they're not evaluated.
15258 2013-09-24 Sam Steingold <sds@gnu.org>
15260 * midnight.el (clean-buffer-list-kill-regexps)
15261 (clean-buffer-list-kill-buffer-names): Update for the new Man
15262 buffer naming which includes the object name.
15264 2013-09-23 Stefan Monnier <monnier@iro.umontreal.ca>
15266 * eshell/esh-cmd.el (eshell--sep-terms): New var.
15267 (eshell-parse-command, eshell-parse-pipeline): Use it since
15268 eshell-separate-commands requires a dynamic scoped var.
15269 Reported by Jan Moringen <jmoringe@techfak.uni-bielefeld.de>.
15271 2013-09-23 Leo Liu <sdl.web@gmail.com>
15273 * autoinsert.el (auto-insert-alist): Make the value of
15274 lexical-binding match its file setting.
15276 2013-09-23 Juanma Barranquero <lekktu@gmail.com>
15278 * vc/vc-sccs.el (vc-sccs-search-project-dir): Mark unused argument.
15280 * autoarg.el (autoarg-kp-digit-argument):
15281 * electric.el (Electric-command-loop):
15282 * kmacro.el (kmacro-step-edit-insert):
15283 Do not set universal-argument-num-events.
15285 2013-09-22 Leo Liu <sdl.web@gmail.com>
15287 * files.el (interpreter-mode-alist): Add octave.
15289 2013-09-21 Alan Mackenzie <acm@muc.de>
15291 C++: fontify identifier in declaration following "public:" correctly.
15292 * progmodes/cc-langs.el (c-decl-start-colon-kwd-re): New lang var
15293 to match "public", etc.
15294 (c-decl-prefix-re): Add ":" into the C++ value.
15295 * progmodes/cc-engine.el (c-find-decl-prefix-search): Refactor a
15296 bit. Add a check for a ":" preceded by "public", etc.
15298 2013-09-21 Eli Zaretskii <eliz@gnu.org>
15300 * files.el (auto-mode-alist): Support OBJFILE-gdb.gdb script files
15301 recognized by GDB 7.5 and later.
15303 2013-09-21 Xue Fuqiao <xfq.free@gmail.com>
15305 * vc/vc-dir.el (vc-dir-mode-map): Add keybinding for vc-log-incoming.
15307 2013-09-20 Stefan Monnier <monnier@iro.umontreal.ca>
15309 * subr.el (internal--call-interactively): New const.
15310 (called-interactively-p): Use it (bug#3984).
15312 2013-09-20 Xue Fuqiao <xfq.free@gmail.com>
15314 * vc/pcvs.el (cvs-mode-ignore):
15315 * vc/vc-cvs.el (vc-cvs-ignore, vc-cvs-append-to-ignore):
15316 Rename cvs-append-to-ignore to vc-cvs-append-to-ignore.
15318 2013-09-19 Stefan Monnier <monnier@iro.umontreal.ca>
15320 * eshell/em-ls.el: Use advice. Remove redundant :group keywords.
15321 (eshell-ls-orig-insert-directory): Remove.
15322 (eshell-ls-unload-hook): Not a defcustom any more. Use advice-remove.
15323 (eshell-ls-use-in-dired): Use advice-add/remove.
15324 (eshell-ls--insert-directory): Rename from eshell-ls-insert-directory.
15325 Add `orig-fun' arg for use in :around advice.
15326 Make it check (redundantly) eshell-ls-use-in-dired.
15328 2013-09-19 Glenn Morris <rgm@gnu.org>
15330 * emacs-lisp/cl-macs.el (cl-defsubst): Remove unused local `pbody'.
15332 * simple.el (x-selection-owner-p, x-selection-exists-p): Declare.
15334 * emacs-lisp/eieio.el (class-parent): Undo previous change.
15336 2013-09-19 Michael Albinus <michael.albinus@gmx.de>
15338 * net/tramp-sh.el (tramp-get-remote-id): Do not raise an error.
15339 (tramp-get-remote-uid-with-id, tramp-get-remote-gid-with-id)
15340 (tramp-get-remote-python): New defuns.
15341 (tramp-get-remote-uid-with-perl)
15342 (tramp-get-remote-gid-with-perl): New defuns. Perl code
15343 contributed by yary <not.com@gmail.com> (tiny change).
15344 (tramp-get-remote-uid-with-python)
15345 (tramp-get-remote-gid-with-python): New defuns. Python code
15346 contributed by Andrey Tykhonov <atykhonov@gmail.com> (tiny change).
15347 (tramp-get-remote-uid, tramp-get-remote-gid): Use new defuns.
15349 2013-09-19 Glenn Morris <rgm@gnu.org>
15351 * emacs-lisp/eieio.el (class-parent): Don't use defalias with macros.
15353 * eshell/em-unix.el (eshell-remove-entries):
15354 Rename argument to avoid name-clash with global `top-level'.
15356 * eshell/esh-proc.el (eshell-kill-process-function):
15357 Remove eshell-reset-after-proc from eshell-kill-hook if present.
15358 (eshell-reset-after-proc): Remove unused arg `proc'.
15360 * eshell/esh-util.el (eshell-read-hosts-file): Use `filename' arg.
15361 (directory-files-and-attributes): Mark unused arg.
15363 * eshell/em-unix.el (eshell-remove-entries):
15364 Remove unused arg `path'. Update callers.
15366 * eshell/em-hist.el (eshell-hist-parse-arguments):
15367 Remove unused arg `silent'. Update callers.
15369 * eshell/em-ls.el (eshell-ls-use-in-dired): Use `symbol' arg.
15370 Fix (f)boundp mix-up.
15372 * eshell/em-smart.el (eshell-smart-scroll-window)
15373 (eshell-disable-after-change):
15374 * eshell/em-term.el (eshell-term-sentinel): Mark unused arg.
15376 2013-09-18 Alan Mackenzie <acm@muc.de>
15378 Fix fontification of type when followed by "const".
15379 * progmodes/cc-engine.el (c-forward-decl-or-cast-1): Don't exclude
15380 "known" types from fontification.
15382 2013-09-18 Glenn Morris <rgm@gnu.org>
15384 * emacs-lisp/chart.el (x-display-color-cells): Declare.
15385 (chart-face-list): Drop Emacsen without display-color-p.
15387 * net/eww.el (libxml-parse-html-region): Declare.
15388 (eww-display-html): Explicit error if no libxml2 support.
15390 * doc-view.el (doc-view-mode): Silence --without-x compilation.
15392 * image.el (image-type-from-buffer, image-multi-frame-p):
15393 Remove --without-x warning/error.
15395 * mouse.el (mouse-yank-primary):
15396 * term.el (term-mouse-paste):
15397 Reorder to silence --without-x compilation.
15399 * mpc.el (doc-view-mode): Silence --without-x compilation.
15401 * mail/rmailmm.el (rmail-mime-set-bulk-data):
15402 Silence --without-x compilation.
15404 * progmodes/gud.el (gud-find-file, gud-mode):
15405 Silence --without-x compilation.
15406 (tooltip-mode): Declare.
15408 * wdired.el (dired-backup-overwrite): Remove declaration.
15409 (wdired-mode-map): Add doc string.
15411 * custom.el (x-get-resource): Declare.
15413 * eshell/em-glob.el (ange-cache):
15414 * eshell/em-unix.el (ange-cache): Declare.
15416 * faces.el (x-display-list, x-open-connection, x-get-resource):
15419 * follow.el (scroll-bar-toolkit-scroll, scroll-bar-drag)
15420 (scroll-bar-scroll-up, scroll-bar-scroll-down, mwheel-scroll):
15423 * frame.el (x-display-grayscale-p, x-display-name): Declare.
15425 * net/gnutls.el (gnutls-log-level): Declare.
15427 * net/shr.el (image-size, image-animate): Declare.
15429 * simple.el (font-info): Declare.
15431 * subr.el (x-popup-dialog): Declare.
15433 * term/common-win.el (x-select-enable-primary)
15434 (x-last-selected-text-primary, x-last-selected-text-clipboard):
15437 * term/ns-win.el (x-handle-args): Declare.
15439 * term/x-win.el (x-select-enable-clipboard): Declare.
15441 * term/w32-win.el (create-default-fontset): Declare.
15443 * w32-common-fns.el (x-server-version, x-select-enable-clipboard):
15446 * window.el (x-display-pixel-height, tool-bar-lines-needed): Declare.
15447 (fit-frame-to-buffer): Explicit error if --without-x.
15448 (mouse-autoselect-window-select): Silence compiler.
15450 * dframe.el (x-sensitive-text-pointer-shape, x-pointer-shape): Declare.
15452 * eshell/em-cmpl.el (eshell-complete-parse-arguments):
15453 * eshell/em-hist.el (eshell/history, eshell-isearch-backward):
15454 * eshell/em-pred.el (eshell-parse-modifiers, eshell-pred-file-time):
15455 * eshell/esh-util.el (eshell-sublist):
15456 Remove unused local variables.
15458 * eshell/esh-io.el (x-select-enable-clipboard): Declare.
15460 * textmodes/two-column.el: Make 2C-split work for --without-x.
15461 (scroll-bar-columns): Autoload.
15462 (top-level): Require fringe when compiling.
15464 2013-09-18 Leo Liu <sdl.web@gmail.com>
15466 * subr.el (add-hook): Robustify to handle closure as well.
15468 2013-09-17 Glenn Morris <rgm@gnu.org>
15470 * simple.el (messages-buffer-mode-map): Unbind "g".
15472 2013-09-17 Stefan Monnier <monnier@iro.umontreal.ca>
15474 * help-mode.el (help-mode-finish): Use derived-mode-p.
15475 Remove obsolete highlighting.
15477 * play/life.el (life-mode): Use define-derived-mode. Derive from
15479 (life): Let-bind inhibit-read-only.
15480 (life-setup): Avoid `setq'. Use `life-mode'.
15482 * emacs-lisp/package.el (package-generate-autoloads): Remove `require'
15483 which should not be needed any more.
15484 (package-menu-refresh, package-menu-describe-package): Use user-error.
15486 * eshell/esh-cmd.el (eshell-post-rewrite-command-function): New var.
15487 (eshell-post-rewrite-command-hook): Make obsolete.
15488 (eshell-parse-command): Simplify.
15489 (eshell-structure-basic-command): Remove unused arg `vocal-test'.
15490 (eshell--cmd): Declare.
15491 (eshell-parse-pipeline): Remove unused var `final-p'.
15492 Pass a dynvar to eshell-post-rewrite-command-hook.
15493 Implement the new eshell-post-rewrite-command-function.
15494 (eshell-invoke-directly): Remove unused arg `input'.
15495 * eshell/esh-io.el (eshell-io-initialize):
15496 Use eshell-post-rewrite-command-function (bug#15399).
15497 (eshell--apply-redirections): Rename from eshell-apply-redirections;
15498 adjust to new calling convention.
15499 (eshell-create-handles): Rename args to avoid clashing with dynvar
15502 2013-09-17 Glenn Morris <rgm@gnu.org>
15504 * simple.el (messages-buffer-mode): New major mode.
15505 (messages-buffer): New function.
15506 * startup.el (normal-top-level): Switch mode of *Messages* buffer.
15507 * emacs-lisp/ert.el (ert--force-message-log-buffer-truncation)
15508 (ert-run-test): Use `messages-buffer' function.
15509 (ert--force-message-log-buffer-truncation): Ignore read-only.
15510 * help.el (view-echo-area-messages): Use `messages-buffer' function.
15511 * mail/emacsbug.el (report-emacs-bug): Use `messages-buffer' function.
15513 2013-09-17 Stefan Monnier <monnier@iro.umontreal.ca>
15515 * subr.el (eval-after-load): Preserve evaluation order (bug#15389).
15517 * abbrev.el (abbrev--check-chars): Fix thinko (bug#15360).
15519 2013-09-16 Stefan Monnier <monnier@iro.umontreal.ca>
15521 * icomplete.el (icomplete-in-buffer): New var.
15522 (icomplete-pre-command-hook, icomplete-post-command-hook): Remove those
15523 vars and replace them with functions.
15524 (icomplete-minibuffer-setup): Adjust accordingly.
15525 (icomplete--completion-table, icomplete--completion-predicate)
15526 (icomplete--field-string, icomplete--field-beg, icomplete--field-end):
15528 (icomplete-forward-completions, icomplete-backward-completions)
15529 (icomplete-simple-completing-p, icomplete-exhibit)
15530 (icomplete-completions): Use them.
15531 (icomplete--in-region-buffer): New var.
15532 (icomplete--in-region-setup): New function.
15533 (icomplete-mode): Use it.
15535 * eshell/esh-opt.el: Fix last change to set lexical-vars properly
15537 (eshell--do-opts): Rename from eshell-do-opt, remove arg `body-fun',
15538 return args and options.
15539 (eshell-eval-using-options): Use the new return value of
15540 eshell--do-opts to set the options's vars in their scope.
15541 (eshell--set-option): Rename from eshell-set-option.
15542 Add arg `opt-vals'.
15543 (eshell--process-option): Rename from eshell-process-option.
15544 Add arg `opt-vals'.
15545 (eshell--process-args): Use an `opt-vals' alist to store the options's
15546 values during their processing and return them additionally to the
15549 2013-09-15 Dmitry Gutov <dgutov@yandex.ru>
15551 * progmodes/ruby-mode.el (ruby-operator-re): Consider line
15552 continuation character an operator, as far as indentation is
15553 concerned (Bug#15369).
15555 2013-09-15 Martin Rudalics <rudalics@gmx.at>
15557 * window.el (window--state-put-2): Don't process buffer state
15558 when buffer doesn't exist any more (Bug#15382).
15560 2013-09-15 Glenn Morris <rgm@gnu.org>
15562 * eshell/em-unix.el (eshell/rm):
15563 Make -f ignore missing files. (Bug#15373)
15565 * eshell/esh-cmd.el (eshell--local-vars): New variable. (Bug#15372)
15566 (eshell-rewrite-for-command): Add for loop vars to eshell--local-vars.
15567 * eshell/esh-var.el (eshell-get-variable): Respect eshell--local-vars.
15569 2013-09-14 Glenn Morris <rgm@gnu.org>
15571 * eshell/esh-var.el (eshell-variable-aliases-list): Fix doc typo.
15573 2013-09-13 Glenn Morris <rgm@gnu.org>
15575 * dired-x.el (dired-guess-shell-alist-user): Doc fix.
15576 (dired-guess-default): Make `file' available in the env. (Bug#15363)
15578 2013-09-13 Dmitry Antipov <dmantipov@yandex.ru>
15580 * frame.el (x-focus-frame): Mark as declared in frame.c.
15582 2013-09-13 Stefan Monnier <monnier@iro.umontreal.ca>
15584 * ls-lisp.el: Use advice-add.
15585 (original-insert-directory): Remove.
15586 (ls-lisp--insert-directory): Rename from insert-directory; add
15587 `orig-fun' argument.
15588 (insert-directory): Advise.
15590 2013-09-13 Eli Zaretskii <eliz@gnu.org>
15592 * term.el (term-emulate-terminal): Decode the command string
15593 before passing it to term-command-hook. (Bug#15337)
15595 2013-09-13 Glenn Morris <rgm@gnu.org>
15597 * eshell/esh-util.el (ange-cache): Move declaration earlier.
15599 * eshell/esh-ext.el (eshell-search-path): Declare.
15601 * eshell/em-prompt.el (eshell/pwd): Autoload it.
15602 Otherwise an error occurs if eshell-dirs module not loaded.
15604 * progmodes/gdb-mi.el (gud-cont, gud-step): Declare.
15606 2013-09-13 Michael Albinus <michael.albinus@gmx.de>
15608 * net/tramp.el (tramp-check-proper-method-and-host): Rename it from
15609 `tramp-check-proper-host'. Check for a valid method name.
15611 * net/tramp-adb.el (tramp-adb-maybe-open-connection):
15612 * net/tramp-gvfs.el (tramp-gvfs-maybe-open-connection):
15613 * net/tramp-sh.el (tramp-maybe-open-connection):
15614 * net/tramp-smb.el (tramp-smb-maybe-open-connection): Call it.
15616 * net/tramp-cache.el (tramp-cache-print): Don't print text properties
15617 also for hash values.
15619 2013-09-12 Stefan Monnier <monnier@iro.umontreal.ca>
15621 * term/ns-win.el (parameters): Don't declare as dynamic.
15622 (before-make-frame-hook): Don't add ineffective function.
15624 * eshell/*.el: Use lexical-binding (bug#15231).
15626 2013-09-12 Kenichi Handa <handa@gnu.org>
15628 * composite.el (compose-gstring-for-graphic): Handle enclosing mark.
15630 2013-09-12 Glenn Morris <rgm@gnu.org>
15632 * vc/vc-svn.el (vc-svn-dir-status-files, vc-svn-dir-extra-headers)
15633 (vc-svn-ignore, vc-svn-retrieve-tag): Mark unused arguments.
15635 * subr.el (do-after-load-evaluation): Also give compiler warnings
15636 when obsolete files are used (except by obsolete files).
15638 * vc/vc-svn.el (vc-svn-parse-status): If there are multiple files
15639 in the status output, assume `filename' is the first. (Bug#15322)
15641 * vc/vc.el (vc-deduce-fileset): Doc fix.
15643 * calc/calc-help.el (Info-goto-node):
15644 * progmodes/cperl-mode.el (Info-find-node):
15645 * vc/ediff.el (Info-goto-node): Update declarations.
15647 * vc/vc-dispatcher.el (vc-dir-refresh): Declare.
15649 * vc/vc-bzr.el (vc-compilation-mode): Declare.
15650 (vc-bzr-pull): Require vc-dispatcher.
15651 * vc/vc-git.el (vc-compilation-mode): Declare.
15652 (vc-git-pull): Require vc-dispatcher.
15654 * progmodes/ruby-mode.el (ruby-syntax-propertize-function): Declare.
15656 * progmodes/octave.el (help-button-action): Declare.
15658 * shell.el (shell-directory-tracker): Output error as a message
15659 rather than just returning it as a string.
15660 (shell-process-pushd): Remove useless use of message.
15662 * dframe.el (dframe-timer-fn):
15663 * files.el (dir-locals-read-from-file):
15664 * mpc.el (mpc--status-timer-run, mpc--status-idle-timer-run)
15666 * reveal.el (reveal-post-command):
15667 * saveplace.el (load-save-place-alist-from-file):
15668 * shell.el (shell-resync-dirs):
15669 * w32-common-fns.el (x-get-selection-value):
15670 * emacs-lisp/copyright.el (copyright-find-copyright):
15671 * emacs-lisp/eldoc.el (eldoc-print-current-symbol-info):
15672 * emulation/tpu-edt.el (tpu-copy-keyfile):
15673 * play/bubbles.el (bubbles--mark-neighbourhood):
15674 * progmodes/executable.el
15675 (executable-make-buffer-file-executable-if-script-p):
15676 * term/pc-win.el (x-get-selection-value): Use with-demoted-errors.
15678 2013-09-12 Stefan Monnier <monnier@iro.umontreal.ca>
15680 Cleanup Eshell to rely less on dynamic scoping.
15681 * eshell/esh-opt.el (eshell-eval-using-options): Don't bind usage-msg,
15682 last-value, and ext-command here. Bind `args' closer to `body'.
15683 (temp-args, last-value, usage-msg, ext-command, args): Don't defvar.
15684 (eshell--args): Declare new dynamic var.
15685 (eshell-do-opt): Add argument `args'. Bind our own usage-msg,
15686 last-value, and ext-command. Pass `args' to `body'.
15687 (eshell-process-args): Bind eshell--args.
15688 (eshell-set-option): Use eshell--args.
15689 * eshell/eshell.el (eshell): Use derived-mode-p.
15690 * eshell/esh-var.el (eshell-parse-variable): Use backquote.
15691 (eshell-parse-variable-ref): Remove unused vars `end' and `err'.
15692 (eshell-glob-function): Declare.
15693 * eshell/esh-util.el: Require cl-lib.
15694 (eshell-read-hosts-file): Avoid add-to-list.
15695 * eshell/esh-cmd.el (eshell-parse-lisp-argument): Remove unused var
15697 * eshell/em-unix.el (compilation-scroll-output, locate-history-list):
15699 (eshell/diff): Remove unused var `err'.
15700 * eshell/em-rebind.el (eshell-delete-backward-char): Remove unused arg
15702 * eshell/em-pred.el (eshell-parse-modifiers): Remove unused var `err'.
15703 * eshell/em-ls.el (eshell-ls-highlight-alist): Move defvars before
15705 * eshell/em-glob.el (eshell-glob-matches, message-shown):
15706 Move declaration before first use.
15707 * eshell/em-alias.el (eshell-maybe-replace-by-alias): Use backquotes.
15708 * autorevert.el (auto-revert-notify-handler): Use `cl-dolist' since we
15711 2013-09-12 Glenn Morris <rgm@gnu.org>
15713 * term/ns-win.el (global-map): Remove binding for ispell-next,
15714 deleted 1999-05-29. (Bug#15357)
15716 2013-09-11 Glenn Morris <rgm@gnu.org>
15718 * echistory.el (electric-command-history): Remove call to deleted func.
15720 * play/landmark.el (landmark-mode): Fix typos.
15722 * vc/vc-cvs.el (cvs-append-to-ignore): Fix arg spec.
15723 Check cvs-sort-ignore-file is bound.
15725 * savehist.el: No need for cl when compiling on Emacs.
15727 2013-09-11 Stefan Monnier <monnier@iro.umontreal.ca>
15729 * eshell/esh-mode.el (eshell-mode-syntax-table): Fix up initialization
15731 (eshell-self-insert-command, eshell-send-invisible):
15732 Remove unused argument.
15733 (eshell-handle-control-codes): Remove unused var `orig'.
15734 Avoid delete-backward-char.
15736 * files.el (set-auto-mode): Simplify a bit further.
15738 2013-09-11 Glenn Morris <rgm@gnu.org>
15740 * files.el (interpreter-mode-alist): Remove \\` \\' parts.
15741 (set-auto-mode): Don't regexp-quote elements.
15742 * progmodes/python.el (interpreter-mode-alist): Remove \\` \\'.
15743 * progmodes/cc-mode.el (interpreter-mode-alist):
15744 * progmodes/ruby-mode.el (interpreter-mode-alist):
15745 Revert previous change.
15747 2013-09-11 Stefan Monnier <monnier@iro.umontreal.ca>
15749 * play/snake.el (snake-mode):
15750 * play/mpuz.el (mpuz-mode):
15751 * play/landmark.el (lm-mode):
15752 * play/blackbox.el (blackbox-mode):
15753 * play/5x5.el (5x5-mode):
15754 * obsolete/options.el (Edit-options-mode):
15755 * net/quickurl.el (quickurl-list-mode):
15756 * net/newst-treeview.el (newsticker-treeview-mode):
15757 * mail/rmailsum.el (rmail-summary-mode):
15758 * mail/mspools.el (mspools-mode):
15759 * locate.el (locate-mode):
15760 * ibuffer.el (ibuffer-mode):
15761 * emulation/ws-mode.el (wordstar-mode):
15762 * emacs-lisp/debug.el (debugger-mode):
15763 * array.el (array-mode):
15764 * net/eudc.el (eudc-mode): Use define-derived-mode.
15765 * net/mairix.el (mairix-searches-mode-font-lock-keywords):
15766 Move initialization into declaration.
15767 (mairix-searches-mode): Use define-derived-mode.
15768 * net/eudc-hotlist.el (eudc-hotlist-mode): Use define-derived-mode.
15769 (eudc-edit-hotlist): Use dolist.
15770 * man.el (Man-mode-syntax-table): Rename from man-mode-syntax-table.
15771 (Man-mode): Use define-derived-mode.
15772 * info.el (Info-edit-mode-map): Rename from Info-edit-map.
15773 (Info-edit-mode): Use define-derived-mode.
15774 (Info-cease-edit): Use Info-mode.
15775 * eshell/esh-mode.el (eshell-mode-syntax-table): Move initialization
15777 (eshell-mode): Use define-derived-mode.
15778 * chistory.el (command-history-mode-map): Rename from
15779 command-history-map.
15780 (command-history-mode): Use define-derived-mode.
15781 (Command-history-setup): Remove function.
15782 * calc/calc.el (calc-trail-mode-map): New var.
15783 (calc-trail-mode): Use define-derived-mode.
15784 (calc-trail-buffer): Set calc-main-buffer manually.
15785 * bookmark.el (bookmark-insert-annotation): New function.
15786 (bookmark-edit-annotation): Use it.
15787 (bookmark-edit-annotation-mode): Make it a proper major mode.
15788 (bookmark-send-edited-annotation): Use derived-mode-p.
15789 * arc-mode.el (archive-mode): Move kill-all-local-variables a tiny bit
15790 closer to its ideal place. Use \' to match EOS.
15792 * profiler.el (profiler-calltree-find): Use function-equal.
15794 2013-09-10 Glenn Morris <rgm@gnu.org>
15796 * files.el (interpreter-mode-alist): Convert to regexps.
15797 (set-auto-mode): Adapt for this. (Bug#15306)
15798 * progmodes/cperl-mode.el (cperl-clobber-mode-lists):
15799 Comment out unused variable.
15800 * progmodes/cc-mode.el (interpreter-mode-alist):
15801 * progmodes/python.el (interpreter-mode-alist):
15802 * progmodes/ruby-mode.el (interpreter-mode-alist): Convert to regexps.
15803 * progmodes/sh-script.el (sh-set-shell):
15804 No longer use interpreter-mode-alist to get list of shells.
15806 * progmodes/cc-mode.el (awk-mode): Remove duplicate autoload.
15808 2013-09-10 Stefan Monnier <monnier@iro.umontreal.ca>
15810 * simple.el: Use set-temporary-overlay-map for universal-argument.
15811 (universal-argument-map): Don't use default-bindings (bug#15317).
15812 Bind switch-frame explicitly. Replace universal-argument-minus with
15813 a conditional binding.
15814 (universal-argument-num-events, saved-overriding-map): Remove.
15815 (restore-overriding-map): Remove.
15816 (universal-argument--mode): Rename from save&set-overriding-map,
15818 (universal-argument, universal-argument-more, negative-argument)
15819 (digit-argument): Adjust accordingly.
15820 (universal-argument-minus): Remove.
15821 (universal-argument-other-key): Remove.
15823 * subr.el (with-demoted-errors): Add `format' argument.
15825 2013-09-10 Michael Albinus <michael.albinus@gmx.de>
15827 * net/tramp.el (tramp-cleanup): Remove. Functionality added to
15828 `tramp-cleanup-connection'.
15830 * net/tramp-cmds.el (tramp-cleanup-connection): Add optional
15831 parameters KEEP-DEBUG and KEEP-PASSWORD.
15833 * net/tramp.el (tramp-file-name-handler):
15834 * net/tramp-adb.el (tramp-adb-maybe-open-connection):
15835 * net/tramp-sh.el (tramp-open-connection-setup-interactive-shell)
15836 (tramp-maybe-open-connection):
15837 * net/tramp-smb.el (tramp-smb-maybe-open-connection):
15838 Use `tramp-cleanup-connection'.
15840 * net/tramp-sh.el (tramp-maybe-open-connection):
15841 Catch 'uname-changed inside the progress reporter.
15843 2013-09-10 Glenn Morris <rgm@gnu.org>
15845 * simple.el (read-minibuffer): Unbreak it. (Bug#15318)
15847 * dired-x.el (dired-mark-sexp): Unbreak for systems where ls
15848 returns "alternate access method" in mode (eg "-rw-r--r--.").
15850 2013-09-08 Glenn Morris <rgm@gnu.org>
15852 * saveplace.el (load-save-place-alist-from-file):
15853 Demote errors. (Bug#15305)
15855 2013-09-08 Michael Albinus <michael.albinus@gmx.de>
15857 Improve compatibility with older Emacsen, and XEmacs.
15859 * net/tramp.el (tramp-find-method, tramp-find-user): Call `propertize'
15860 only if it is bound. It isn't for XEmacs.
15861 (with-tramp-progress-reporter): Do not let-bind `result'.
15862 This yields to scoping errors in XEmacs.
15863 (tramp-handle-make-auto-save-file-name): New function, moved from
15866 * net/tramp-adb.el (tramp-adb-file-name-handler-alist): Add handler
15867 for `make-auto-save-file-name'.
15868 (tramp-adb--gnu-switches-to-ash):
15869 Use `tramp-compat-replace-regexp-in-string'.
15871 * net/tramp-cache.el (tramp-cache-print): Call
15872 `substring-no-properties' only if it is bound. It isn't for XEmacs.
15874 * net/tramp-cmds.el (tramp-bug): Call `propertize' only if it is
15875 bound. It isn't for XEmacs.
15877 * net/tramp-compat.el (tramp-compat-copy-file):
15878 Catch `wrong-number-of-arguments' error.
15879 (tramp-compat-replace-regexp-in-string): New defun.
15881 * net/tramp-gvfs.el (tramp-gvfs-file-name-handler-alist): Add handler
15882 for `make-auto-save-file-name'.
15883 (tramp-gvfs-handle-copy-file): Use `tramp-compat-funcall' for
15885 (tramp-gvfs-file-gvfs-monitor-file-process-filter)
15886 (tramp-gvfs-file-name): Use `tramp-compat-replace-regexp-in-string'.
15887 (tramp-synce-list-devices): Use `push' instead of `pushnew'.
15889 * net/tramp-gw.el (tramp-gw-open-network-stream):
15890 Use `tramp-compat-replace-regexp-in-string'.
15892 * net/tramp-sh.el (tramp-sh-file-name-handler-alist):
15893 Call `tramp-handle-make-auto-save-file-name'.
15894 (tramp-sh-handle-make-auto-save-file-name): Move to tramp.el.
15895 (tramp-sh-file-gvfs-monitor-dir-process-filter)
15896 (tramp-sh-file-inotifywait-process-filter):
15897 Use `tramp-compat-replace-regexp-in-string'.
15898 (tramp-compute-multi-hops): Use `push' instead of `pushnew'.
15900 * net/tramp-smb.el (tramp-smb-file-name-handler-alist): Add handler
15901 for `make-auto-save-file-name'.
15902 (tramp-smb-handle-copy-directory):
15903 Call `tramp-compat-replace-regexp-in-string'.
15904 (tramp-smb-get-file-entries): Use `push' instead of `pushnew'.
15905 (tramp-smb-handle-copy-file): Improve error message.
15906 (tramp-smb-handle-rename-file): Rename directly only in case
15907 `newname' does not exist yet. This is a restriction of smbclient.
15908 (tramp-smb-maybe-open-connection): Rerun the function only when
15909 `auth-sources' is non-nil.
15911 2013-09-08 Kenichi Handa <handa@gnu.org>
15913 * international/characters.el: Set category "^" (Combining) for
15916 2013-09-07 Alan Mackenzie <acm@muc.de>
15918 Correctly fontify Java class constructors.
15919 * progmodes/cc-langs.el (c-type-decl-suffix-key): Now matches ")"
15921 (c-recognize-typeless-decls): Set the Java value to t.
15922 * progmodes/cc-engine.el (c-forward-decl-or-cast-1):
15923 While handling a "(", add a check for, effectively, Java, and handle a
15924 "typeless" declaration there.
15926 2013-09-07 Roland Winkler <winkler@gnu.org>
15928 * textmodes/bibtex.el (bibtex-biblatex-entry-alist): Add optional
15929 field subtitle for entry type book.
15931 2013-09-06 Stefan Monnier <monnier@iro.umontreal.ca>
15933 * minibuffer.el: Make minibuffer-complete call completion-in-region
15934 rather than other way around.
15935 (completion--some, completion-pcm--find-all-completions):
15936 Don't delay signals when debugging.
15937 (minibuffer-completion-contents): Beware fields within the
15938 minibuffer contents.
15939 (completion-all-sorted-completions): Use defvar-local.
15940 (completion--do-completion, completion--cache-all-sorted-completions)
15941 (completion-all-sorted-completions, minibuffer-force-complete):
15942 Add args `beg' and `end'.
15943 (completion--in-region-1): New fun, extracted from minibuffer-complete.
15944 (minibuffer-complete): Use completion-in-region.
15945 (completion-complete-and-exit): New fun, extracted from
15946 minibuffer-complete-and-exit.
15947 (minibuffer-complete-and-exit): Use it.
15948 (completion--complete-and-exit): Rename from
15949 minibuffer--complete-and-exit.
15950 (completion-in-region--single-word): New function, extracted from
15951 minibuffer-complete-word.
15952 (minibuffer-complete-word): Use it.
15953 (display-completion-list): Make `common-substring' argument obsolete.
15954 (completion--in-region): Call completion--in-region-1 instead of
15955 minibuffer-complete.
15956 (completion-help-at-point): Pass boundaries to
15957 minibuffer-completion-help as args rather than via an overlay.
15958 (completion-pcm--string->pattern): Use `any-delim'.
15959 (completion-pcm--optimize-pattern): New function.
15960 (completion-pcm--pattern->regex): Handle `any-delim'.
15961 * icomplete.el (icomplete-forward-completions)
15962 (icomplete-backward-completions, icomplete-completions):
15963 Adjust calls to completion-all-sorted-completions and
15964 completion--cache-all-sorted-completions.
15965 (icomplete-with-completion-tables): Default to t.
15966 * emacs-lisp/crm.el (crm--current-element): Rename from
15967 crm--select-current-element. Don't put an overlay but return the
15968 boundaries instead.
15969 (crm--completion-command): Take two new args to bind to the boundaries.
15970 (crm-completion-help): Adjust accordingly.
15971 (crm-complete): Use completion-in-region.
15972 (crm-complete-word): Use completion-in-region--single-word.
15973 (crm-complete-and-exit): Use completion-complete-and-exit.
15975 2013-09-06 Stefan Monnier <monnier@iro.umontreal.ca>
15977 * dired-x.el (dired-mark-sexp): Bind the vars lexically rather
15980 2013-09-06 Juri Linkov <juri@jurta.org>
15982 * info.el (Info-display-images-node): When image file doesn't exist
15983 display text version of the image if it's provided in the Info file.
15984 Otherwise, display the location of missing image from SRC attribute.
15985 Add help-echo text property from ALT attribute. (Bug#15279)
15987 2013-09-06 Stefan Monnier <monnier@iro.umontreal.ca>
15989 * abbrev.el (edit-abbrevs-mode-map): Rename from edit-abbrevs-map.
15990 (edit-abbrevs-mode): Use define-derived-mode.
15992 * epa.el (epa--encode-coding-string, epa--decode-coding-string)
15993 (epa--select-safe-coding-system, epa--derived-mode-p): Make it obvious
15995 (epa-key-list-mode, epa-key-mode, epa-info-mode):
15996 Use define-derived-mode.
15998 * epg.el (epg-start-encrypt): Minor CSE simplification.
16000 2013-09-06 William Xu <william.xwl@gmail.com>
16002 * arc-mode.el: Add support for 7za (bug#15264).
16003 (archive-7z-program): New var.
16004 (archive-zip-extract, archive-zip-expunge, archive-zip-update)
16005 (archive-zip-update-case, archive-7z-extract, archive-7z-expunge)
16006 (archive-7z-update, archive-zip-extract, archive-7z-summarize): Use it.
16008 2013-09-06 Michael Albinus <michael.albinus@gmx.de>
16012 * net/tramp.el (tramp-syntax, tramp-prefix-format)
16013 (tramp-postfix-method-format, tramp-prefix-ipv6-format)
16014 (tramp-postfix-ipv6-format, tramp-prefix-port-format)
16015 (tramp-postfix-host-format, tramp-file-name-regexp)
16016 (tramp-completion-file-name-regexp)
16017 (tramp-completion-dissect-file-name)
16018 (tramp-handle-substitute-in-file-name): Remove 'url case.
16019 (tramp-file-name-regexp-url)
16020 (tramp-completion-file-name-regexp-url): Remove constants.
16022 2013-09-06 Glenn Morris <rgm@gnu.org>
16024 * replace.el (replace-string): Doc fix re start/end. (Bug#15275)
16026 2013-09-05 Dmitry Gutov <dgutov@yandex.ru>
16028 * progmodes/ruby-mode.el (ruby-font-lock-keywords): Move "Perl-ish
16029 keywords" below "here-doc beginnings" (Bug#15270).
16031 2013-09-05 Stefan Monnier <monnier@iro.umontreal.ca>
16033 * subr.el (pop): Use `car-safe'.
16034 * emacs-lisp/byte-opt.el (byte-optimize-form-code-walker): Remove hack
16035 to detect unused `pop' return value.
16037 * progmodes/python.el (python-nav-beginning-of-block): Remove unused
16038 var `block-regexp'.
16039 (python-nav--forward-sexp): Remove unused var `re-search-fn'.
16040 (python-fill-string): Remove unused var `marker'.
16041 (python-skeleton-add-menu-items): Remove unused var `items'.
16043 * international/mule-cmds.el: Require CL.
16044 (find-coding-systems-for-charsets): Avoid add-to-list.
16045 (sanitize-coding-system-list): New function, extracted from
16046 select-safe-coding-system-interactively.
16047 (select-safe-coding-system-interactively): Use it.
16048 (read-input-method-name): Accept symbols for `default'.
16050 * emacs-lisp/advice.el (defadvice): Add indent rule.
16052 2013-09-05 Daniel Hackney <dan@haxney.org>
16056 * net/browse-url.el:
16059 * net/eudcb-ldap.el:
16063 * vc/ediff-diff.el:
16064 * vc/ediff-init.el:
16065 * vc/ediff-merg.el:
16066 * vc/ediff-mult.el:
16067 * vc/ediff-util.el:
16068 * vc/ediff-wind.el:
16072 * vc/vc-annotate.el: Prefix unused arguments with `_' to silence
16073 byte compiler. Remove some unused let-bound variables.
16075 2013-09-05 Stefan Monnier <monnier@iro.umontreal.ca>
16077 * emacs-lisp/cconv.el: Use `car-safe' rather than `car' to access
16078 a "ref-cell", since it gets better optimized (bug#14883).
16080 2013-09-05 Glenn Morris <rgm@gnu.org>
16082 * progmodes/cc-awk.el (c-forward-sws): Declare.
16084 2013-09-04 Glenn Morris <rgm@gnu.org>
16086 * generic-x.el [rul-generic-mode]: Require cc-mode.
16087 (c++-mode-syntax-table): Declare.
16088 (rul-generic-mode-syntax-table): Init in the defvar.
16090 2013-09-04 Stefan Monnier <monnier@iro.umontreal.ca>
16092 * vc/vc-dispatcher.el (vc-run-delayed): New macro.
16093 (vc-do-command, vc-set-async-update):
16094 * vc/vc-mtn.el (vc-mtn-dir-status):
16095 * vc/vc-hg.el (vc-hg-dir-status, vc-hg-dir-status-files)
16096 (vc-hg-pull, vc-hg-merge-branch):
16097 * vc/vc-git.el (vc-git-dir-status-goto-stage, vc-git-pull)
16098 (vc-git-merge-branch):
16099 * vc/vc-cvs.el (vc-cvs-print-log, vc-cvs-dir-status)
16100 (vc-cvs-dir-status-files):
16101 * vc/vc-bzr.el (vc-bzr-pull, vc-bzr-merge-branch, vc-bzr-dir-status)
16102 (vc-bzr-dir-status-files):
16103 * vc/vc-arch.el (vc-arch-dir-status): Use vc-run-delayed.
16104 * vc/vc-annotate.el: Use lexical-binding.
16105 (vc-annotate-display-select, vc-annotate): Use vc-run-delayed.
16106 (vc-sentinel-movepoint): Declare.
16107 (vc-annotate): Don't use `goto-line'.
16108 * vc/vc.el (vc-diff-internal): Prefer a closure to `(lambda...).
16109 (vc-diff-internal, vc-log-internal-common): Use vc-run-delayed.
16110 (vc-sentinel-movepoint): Declare.
16111 * vc/vc-svn.el: Use lexical-binding.
16112 (vc-svn-dir-status, vc-svn-dir-status-files): Use vc-run-delayed.
16114 * vc/vc-rcs.el: Use lexical-binding.
16116 * autorevert.el (auto-revert-notify-handler): Explicitly ignore
16117 `deleted'. Don't drop errors silently.
16119 * emacs-lisp/gv.el (gv-get): Warn about CL-compiled places.
16121 2013-09-04 Xue Fuqiao <xfq.free@gmail.com>
16123 * vc/vc.el (vc-ignore): Rewrite.
16124 (vc-default-ignore): New function.
16125 (vc-default-ignore-completion-table): Use find-ignore-file.
16127 * vc/vc-bzr.el (vc-bzr-ignore, vc-bzr-ignore-completion-table):
16128 * vc/vc-git.el (vc-git-ignore, vc-git-ignore-completion-table):
16129 * vc/vc-hg.el (vc-hg-ignore, vc-hg-ignore-completion-table):
16130 Remove. Most code moved to vc.el.
16132 2013-09-03 Stefan Monnier <monnier@iro.umontreal.ca>
16134 * net/tramp-gvfs.el (tramp-gvfs-mount-spec, tramp-synce-list-devices):
16135 * net/tramp-smb.el (tramp-smb-get-file-entries):
16136 * net/tramp-sh.el (tramp-sh-handle-insert-directory)
16137 (tramp-compute-multi-hops): Fix misuses of `add-to-list'.
16139 * net/eww.el (eww-display-raw): Remove unused argument `charset'.
16141 (eww-change-select): Remove unused var `properties'.
16142 (eww-make-unique-file-name): Remove unused var `base'.
16144 * finder.el (finder-compile-keywords): Don't mess with windows.
16146 * calculator.el (calculator-funcall): Fix typo in last change.
16148 * vc/vc-git.el (vc-git-checkin): Make it possible to commit a merge.
16150 * emacs-lisp/package.el (package-activate-1): Don't let a missing
16151 <pkg>-autoloads.el file stop us.
16153 * net/tramp.el (with-parsed-tramp-file-name): Silence compiler
16154 warnings, and factor out common code.
16156 2013-09-03 Dmitry Gutov <dgutov@yandex.ru>
16158 * progmodes/ruby-mode.el (ruby-calculate-indent): Consider
16159 two-character operators and whether the character preceding them
16160 changes their meaning (Bug#15208).
16162 2013-09-02 Fabián Ezequiel Gallina <fgallina@gnu.org>
16164 Format code sent to Python shell for robustness.
16165 * progmodes/python.el (python-shell-buffer-substring):
16167 (python-shell-send-region, python-shell-send-buffer): Use it.
16169 2013-09-02 Michael Albinus <michael.albinus@gmx.de>
16171 * net/tramp-compat.el (tramp-compat-user-error): Move it ...
16172 * net/tramp.el (tramp-user-error): ... here.
16173 (tramp-find-method, tramp-check-proper-host)
16174 (tramp-dissect-file-name, tramp-debug-message)
16175 (tramp-handle-shell-command):
16176 * net/tramp-adb.el (tramp-adb-handle-shell-command):
16177 * net/tramp-gvfs.el (tramp-gvfs-file-name-handler): Adapt callees.
16179 * net/tramp-cache.el (tramp-cache-print): Don't print text properties.
16181 2013-09-02 Martin Rudalics <rudalics@gmx.at>
16183 * avoid.el (mouse-avoidance-point-position)
16184 (mouse-avoidance-too-close-p): Handle case where posn-at-point
16187 2013-09-02 Fabián Ezequiel Gallina <fgallina@gnu.org>
16189 * progmodes/python.el (python-shell-completion-get-completions):
16190 Drop use of deleted `comint-last-prompt-overlay'.
16191 (python-nav-if-name-main): New command.
16193 2013-09-01 Glenn Morris <rgm@gnu.org>
16195 * Makefile.in (setwins, setwins_almost, setwins_for_subdirs):
16196 Avoid leading space in $wins. Otherwise the sed command used by
16197 eg compile-main ends up containing "/*.el". (Bug#15170)
16199 * frame.el (frame-background-mode): Doc fix. (Bug#15226)
16201 2013-08-30 Glenn Morris <rgm@gnu.org>
16203 * emacs-lisp/bytecomp.el (byte-recompile-directory):
16204 Fix is-this-a-directory logic. (Bug#15220)
16206 2013-08-29 Stefan Monnier <monnier@iro.umontreal.ca>
16208 * textmodes/css-mode.el: Use SMIE.
16209 (css-smie-grammar): New var.
16210 (css-smie--forward-token, css-smie--backward-token)
16211 (css-smie-rules): New functions.
16212 (css-mode): Use them.
16213 (css-navigation-syntax-table): Remove var.
16214 (css-backward-sexp, css-forward-sexp, css-indent-calculate-virtual)
16215 (css-indent-calculate, css-indent-line): Remove functions.
16217 Misc changes to reduce use of `(lambda...); and other cleanups.
16218 * cus-edit.el: Use lexical-binding.
16219 (customize-push-and-save, customize-apropos)
16220 (custom-buffer-create-internal): Use closures.
16221 * progmodes/bat-mode.el (bat-mode-syntax-table): "..." are strings.
16222 * progmodes/ada-xref.el: Use setq.
16223 * net/tramp.el (with-tramp-progress-reporter): Avoid setq.
16224 * dframe.el: Use lexical-binding.
16225 (dframe-frame-mode): Fix calling convention for hooks. Use a closure.
16226 * speedbar.el (speedbar-frame-mode): Adjust call accordingly.
16227 * descr-text.el: Use lexical-binding.
16228 (describe-text-widget, describe-text-sexp, describe-property-list):
16230 * comint.el (comint-history-isearch-push-state): Use a closure.
16231 * calculator.el: Use lexical-binding.
16232 (calculator-number-to-string): Make it work with lexical-binding.
16233 (calculator-funcall): Same and use cl-letf.
16235 * emacs-lisp/lisp.el (lisp--company-doc-buffer)
16236 (lisp--company-doc-string, lisp--company-location): New functions.
16237 (lisp-completion-at-point): Use them to improve Company support.
16239 * progmodes/ruby-mode.el (ruby-smie-grammar): Add rule for formal
16240 params of lambda expressions.
16241 (ruby-smie--implicit-semi-p): Refine rule (bug#15208).
16242 (ruby-smie--opening-pipe-p): New function.
16243 (ruby-smie--forward-token, ruby-smie--backward-token): Handle Ruby
16244 symbols and matched |...| for formal params.
16245 (ruby-smie-rules): Don't let the formal params of a "do" prevent it
16246 from being treated as hanging. Handle "rescue".
16248 2013-08-29 Glenn Morris <rgm@gnu.org>
16250 * progmodes/cc-engine.el (c-pull-open-brace):
16251 Move definition before use.
16253 2013-08-29 Stefan Monnier <monnier@iro.umontreal.ca>
16255 * emacs-lisp/cl-macs.el (cl-defsubst): Make it clear that args
16256 are immutable. Don't use `unsafe' any more.
16257 (cl--defsubst-expand): Don't substitute at the same time as keeping
16258 a residual unused let-binding. Don't use `unsafe' any more.
16260 2013-08-29 Glenn Morris <rgm@gnu.org>
16262 * calendar/cal-china.el (calendar-chinese-year-cache):
16265 * nxml/nxml-util.el (nxml-debug-clear-inside):
16266 Use cl-loop rather than loop.
16268 * net/eww.el (eww-mode-map): Lower-case menu bar entries look bad.
16270 * progmodes/sh-script.el (sh-builtins) <bash>: Add some bash4-isms.
16272 2013-08-28 Glenn Morris <rgm@gnu.org>
16274 * progmodes/antlr-mode.el: No need to require cc-mode twice.
16276 * progmodes/cc-bytecomp.el (cc-require): Handle uncompiled case.
16278 * progmodes/cc-mode.el (c-define-abbrev-table): Handle NAME unbound.
16280 2013-08-28 Stefan Monnier <monnier@iro.umontreal.ca>
16282 * simple.el (repeat-complex-command--called-interactively-skip):
16284 (repeat-complex-command): Use it (bug#14136).
16286 * progmodes/cc-mode.el: Minor cleanup of var declarations.
16287 (c-define-abbrev-table): Add `doc' argument.
16288 (c-mode-abbrev-table, c++-mode-abbrev-table)
16289 (objc-mode-abbrev-table, java-mode-abbrev-table)
16290 (idl-mode-abbrev-table, pike-mode-abbrev-table)
16291 (awk-mode-abbrev-table): Use it.
16292 (c-mode-syntax-table, c-mode-map, c++-mode-syntax-table)
16293 (c++-mode-map, objc-mode-syntax-table, objc-mode-map)
16294 (java-mode-syntax-table, java-mode-map, idl-mode-syntax-table)
16295 (idl-mode-map, pike-mode-syntax-table, pike-mode-map, awk-mode-map):
16296 Move initialization into the declaration; and remove any
16299 * epg.el (epg--process-filter): Use with-current-buffer, save-excursion
16300 and dynamic let binding.
16302 * vc/smerge-mode.el: Remove redundant :group args.
16304 * emacs-lisp/package.el (package-activate-1): Don't add unnecessarily
16307 2013-08-28 Juri Linkov <juri@jurta.org>
16309 * isearch.el (isearch-reread-key-sequence-naturally): Use non-nil
16310 arg DONT-DOWNCASE-LAST of `read-key-sequence'.
16311 (isearch-other-meta-char): Handle an undefined shifted printing
16312 character by downshifting it. (Bug#15200)
16314 2013-08-28 Juri Linkov <juri@jurta.org>
16316 * isearch.el (isearch-search): Change regexp error message for
16317 non-regexp searches. (Bug#15166)
16319 2013-08-28 Paul Eggert <eggert@cs.ucla.edu>
16321 * Makefile.in (SHELL): Now @SHELL@, not /bin/sh,
16322 for portability to hosts where /bin/sh has problems.
16324 2013-08-28 Stefan Monnier <monnier@iro.umontreal.ca>
16326 * emacs-lisp/cconv.el (cconv--analyse-function): Improve warning.
16328 2013-08-27 Juri Linkov <juri@jurta.org>
16330 * isearch.el (isearch-other-meta-char): Don't store kmacro commands
16331 in the keyboard macro. (Bug#15126)
16333 2013-08-27 Juri Linkov <juri@jurta.org>
16335 * isearch.el (isearch-quote-char): Comment out converting unibyte
16336 to multibyte, thus syncing with its `quoted-insert' counterpart.
16339 2013-08-27 Martin Rudalics <rudalics@gmx.at>
16341 * window.el (display-buffer-use-some-window): Add missing
16342 argument in call of get-largest-window (Bug#15185).
16343 Reported by Stephen Leake.
16345 2013-08-27 Glenn Morris <rgm@gnu.org>
16347 * emacs-lisp/package.el (package-buffer-info): Fix message typo.
16349 2013-08-27 Stefan Monnier <monnier@iro.umontreal.ca>
16351 * progmodes/python.el (python-font-lock-keywords): Don't return nil
16352 from a matcher-function unless there's no more matches (bug#15161).
16354 2013-08-26 Michael Albinus <michael.albinus@gmx.de>
16356 * minibuffer.el: Revert change from 2013-08-20.
16358 * net/tramp.el (tramp-find-method, tramp-find-user): Mark result
16359 with text property `tramp-default', if appropriate.
16360 (tramp-check-proper-host): New defun.
16361 (tramp-dissect-file-name): Do not check hostname. Revert change
16363 (tramp-backtrace): Make VEC-OR-PROC optional.
16365 * net/tramp-adb.el (tramp-adb-maybe-open-connection):
16366 * net/tramp-gvfs.el (tramp-gvfs-maybe-open-connection):
16367 * net/tramp-sh.el (tramp-maybe-open-connection):
16368 * net/tramp-smb.el (tramp-smb-maybe-open-connection):
16369 Apply `tramp-check-proper-host'.
16371 2013-08-26 Tassilo Horn <tsdh@gnu.org>
16373 * epa-hook.el (epa-file-encrypt-to): Quote `safe-local-variable'
16374 lambda expression in order to have `describe-variable' display it.
16376 2013-08-26 Michael Albinus <michael.albinus@gmx.de>
16378 * net/tramp-sh.el (tramp-sh-handle-verify-visited-file-modtime):
16379 BUF can be optional. (Bug#15186)
16381 2013-08-25 Xue Fuqiao <xfq.free@gmail.com>
16383 * progmodes/flymake.el (flymake-get-real-file-name-function):
16384 Fix broken customization. (Bug#15184)
16386 2013-08-25 Alan Mackenzie <acm@muc.de>
16388 Improve indentation of bracelists defined by macros (without "=").
16390 * progmodes/cc-engine.el (c-inside-bracelist-p): When a macro
16391 expansion begins with "{", regard it as bracelist when it doesn't
16394 Parse C++ inher-intro when there's a template split over 2 lines.
16396 * progmodes/cc-engine.el (c-guess-basic-syntax CASE 5C): Code more
16397 rigorously the search for "class" etc. followed by ":".
16399 * progmodes/cc-langs.el (c-opt-<>-sexp-key): Make the value for
16400 random languages a regexp which never matches rather than nil.
16402 Handle "/"s more accurately in test for virtual semicolons (AWK Mode).
16404 * progmodes/cc-awk.el (c-awk-one-line-possibly-open-string-re)
16405 (c-awk-regexp-one-line-possibly-open-char-list-re)
16406 (c-awk-one-line-possibly-open-regexp-re)
16407 (c-awk-one-line-non-syn-ws*-re): Remove.
16408 (c-awk-possibly-open-string-re, c-awk-non-/-syn-ws*-re)
16409 (c-awk-space*-/-re, c-awk-space*-regexp-/-re)
16410 (c-awk-space*-unclosed-regexp-/-re): New constants.
16411 (c-awk-at-vsemi-p): Reformulate better to recognize "/"s which
16412 aren't regexp delimiters.
16414 * progmodes/cc-engine.el (c-crosses-statement-barrier-p): Add in
16415 handling for a rare situation in AWK Mode involving unterminated
16418 2013-08-23 Glenn Morris <rgm@gnu.org>
16420 * files.el (auto-mode-alist): Use sh-mode for .bash_history.
16422 * files.el (interpreter-mode-alist): Use tcl-mode for expect scripts.
16424 * files.el (create-file-buffer): If the result would begin with
16425 spaces, prepend a "|" instead of removing them. (Bug#15162)
16427 2013-08-23 Stefan Monnier <monnier@iro.umontreal.ca>
16429 * textmodes/fill.el (fill-match-adaptive-prefix): Don't throw away
16430 text-properties (bug#15155).
16432 * calc/calc-keypd.el (calc-keypad-execute): `x-flush-mouse-queue' doesn't
16434 (calc-keypad-redraw): Remove unused var `pad'.
16435 (calc-keypad-press): Remove unused var `menu'.
16437 2013-08-23 Martin Rudalics <rudalics@gmx.at>
16439 * window.el (display-buffer-pop-up-frame):
16440 Call pop-up-frame-function with BUFFER current so `make-frame' will
16441 use it as the new frame's buffer (Bug#15133).
16443 2013-08-22 Stefan Monnier <monnier@iro.umontreal.ca>
16445 * calendar/timeclock.el: Minor cleanups.
16446 (timeclock-ask-before-exiting, timeclock-use-display-time):
16448 (timeclock-modeline-display): Define as alias before the
16450 (timeclock-mode-line-display): Use define-minor-mode.
16451 (timeclock-day-list-template): Make it a function, add an argument.
16452 (timeclock-day-list-required, timeclock-day-list-length)
16453 (timeclock-day-list-debt, timeclock-day-list-span)
16454 (timeclock-day-list-break): Adjust calls accordingly.
16456 2013-08-21 Stefan Monnier <monnier@iro.umontreal.ca>
16458 * emacs-lisp/pp.el (pp-eval-expression, pp-macroexpand-expression):
16459 Use read--expression so that completion works again.
16461 2013-08-21 Sam Steingold <sds@gnu.org>
16463 Add rudimentary inferior shell interaction
16464 * progmodes/sh-script.el (sh-shell-process): New buffer-local variable.
16465 (sh-set-shell): Reset it.
16466 (sh-show-shell, sh-cd-here, sh-send-line-or-region-and-step):
16467 New commands (bound to C-c C-z, C-c C-d, and C-c C-n).
16469 2013-08-20 Stefan Monnier <monnier@iro.umontreal.ca>
16471 * align.el: Use lexical-binding.
16472 (align-region): Simplify accordingly.
16474 2013-08-20 Michael Albinus <michael.albinus@gmx.de>
16476 * minibuffer.el (completion--sifn-requote): Bind `non-essential'.
16478 * rfn-eshadow.el (rfn-eshadow-update-overlay): Move binding of
16479 `non-essential' up.
16481 2013-08-17 Michael Albinus <michael.albinus@gmx.de>
16484 * net/tramp-adb.el:
16485 * net/tramp-cmds.el:
16486 * net/tramp-ftp.el:
16487 * net/tramp-gvfs.el:
16489 * net/tramp-sh.el: Don't wrap external variable declarations by
16490 `eval-when-compile'.
16492 2013-08-16 Lars Magne Ingebrigtsen <larsi@gnus.org>
16494 * net/shr.el (shr-rescale-image): Use ImageMagick even for GIFs
16495 now that Emacs supports ImageMagick animations.
16497 2013-08-16 Michael Albinus <michael.albinus@gmx.de>
16499 * net/tramp-cmds.el (top): Don't declare `buffer-name'.
16500 (tramp-append-tramp-buffers): Rewrite buffer local variables part.
16502 2013-08-16 Martin Rudalics <rudalics@gmx.at>
16504 * window.el (mouse-autoselect-window-select): Do autoselect when
16505 mouse pointer is on margin.
16507 2013-08-16 William Parsons <wbparsons@alum.mit.edu> (tiny change)
16509 * net/ange-ftp.el (ange-ftp-skip-msgs): Add 500 EPSV. (Bug#1972)
16511 2013-08-16 Glenn Morris <rgm@gnu.org>
16513 * net/ange-ftp.el (ange-ftp-good-msgs, ange-ftp-get-pwd):
16514 Handle "Remote Directory" response of some clients. (Bug#15058)
16516 * emacs-lisp/bytecomp.el (byte-compile-make-variable-buffer-local):
16517 Tweak warning. (Bug#14926)
16519 * menu-bar.el (send-mail-item-name, read-mail-item-name): Remove.
16520 (menu-bar-tools-menu): Simplify news and mail items. (Bug#15095)
16522 * image-mode.el (image-mode-map): Add menu items to reverse,
16523 increase, decrease, reset animation speed.
16524 (image--set-speed, image-increase-speed, image-decrease-speed)
16525 (image-reverse-speed, image-reset-speed): New functions.
16526 (image-mode-map): Add bindings for speed commands.
16528 * image.el (image-animate-get-speed, image-animate-set-speed):
16530 (image-animate-timeout): Respect image :speed property.
16532 2013-08-15 Stefan Monnier <monnier@iro.umontreal.ca>
16534 * emacs-lisp/debug.el (debugger-setup-buffer): Put point on the
16535 previous line (bug#15101).
16536 (debugger-eval-expression, debugger-record-expression):
16537 Use read--expression (bug#15102).
16539 2013-08-15 Michael Albinus <michael.albinus@gmx.de>
16541 Remove byte compiler warnings, visible when compiling with
16542 `byte-compile-force-lexical-warnings' set to t.
16544 * net/tramp.el (tramp-debug-message, tramp-message, tramp-error)
16545 (tramp-error-with-buffer): Rename ARGS to ARGUMENTS and BUFFER to BUF.
16546 (tramp-handle-unhandled-file-name-directory)
16547 (tramp-handle-file-notify-add-watch, tramp-action-login)
16548 (tramp-action-succeed, tramp-action-permission-denied)
16549 (tramp-action-terminal, tramp-action-process-alive): Prefix unused
16550 arguments with "_".
16552 * net/tramp-adb.el (tramp-adb-parse-device-names)
16553 (tramp-adb-handle-insert-directory, tramp-adb-handle-delete-file)
16554 (tramp-adb-handle-copy-file): Prefix unused arguments with "_".
16555 (tramp-adb-handle-file-truename): Remove unused arguments.
16557 * net/tramp-cache.el (tramp-flush-directory-property)
16558 (tramp-flush-connection-property, tramp-list-connections)
16559 (tramp-parse-connection-properties): Prefix unused arguments with "_".
16561 * net/tramp-compat.el (tramp-compat-make-temp-file):
16562 Rename FILENAME to F.
16564 * net/tramp-gvfs.el (tramp-gvfs-handle-file-notify-add-watch)
16565 (tramp-gvfs-handle-write-region, tramp-bluez-parse-device-names)
16566 (tramp-zeroconf-parse-workstation-device-names)
16567 (tramp-zeroconf-parse-webdav-device-names)
16568 (tramp-synce-parse-device-names): Prefix unused arguments with "_".
16570 * net/tramp-gw.el (tramp-gw-gw-proc-sentinel)
16571 (tramp-gw-aux-proc-sentinel): Prefix unused arguments with "_".
16573 * net/tramp-sh.el (tramp-sh-handle-file-truename): Remove unused
16575 (tramp-sh-handle-copy-file, tramp-sh-handle-dired-compress-file)
16576 (tramp-sh-handle-insert-file-contents-literally)
16577 (tramp-sh-handle-file-notify-add-watch): Prefix unused arguments
16579 (tramp-do-copy-or-rename-file, tramp-barf-if-no-shell-prompt):
16580 Remove unused variables.
16582 * net/tramp-smb.el (tramp-smb-handle-copy-directory)
16583 (tramp-smb-handle-copy-file, tramp-smb-handle-delete-file)
16584 (tramp-smb-read-file-entry): Prefix unused arguments with "_".
16586 * net/tramp-uu.el (tramp-uu-b64-alphabet, tramp-uu-b64-char-to-byte):
16587 Make them a defconst.
16588 (tramp-uuencode-region): Remove unused variable.
16590 2013-08-14 Juanma Barranquero <lekktu@gmail.com>
16592 * frameset.el (frameset--prop-setter): New function.
16593 (frameset-prop): Add gv-setter declaration.
16594 (frameset-filter-minibuffer): Deal with the case that the minibuffer
16595 parameter was already set in FILTERED. Doc fix.
16596 (frameset--record-minibuffer-relationships): Allow saving a
16597 minibufferless frame without its corresponding minibuffer frame.
16598 (frameset--reuse-frame): Accept a match from an orphaned minibufferless
16599 frame, if the frame id matches.
16600 (frameset--minibufferless-last-p): Sort non-orphaned minibufferless
16601 frames before orphaned ones.
16602 (frameset-restore): Warn about orphaned windows, instead of error out.
16604 2013-08-14 Martin Rudalics <rudalics@gmx.at>
16606 * window.el (window-make-atom): Don't overwrite parameter
16608 (display-buffer-in-atom-window): Handle special case where we
16609 split an already atomic window.
16610 (window--major-non-side-window, display-buffer-in-side-window)
16611 (window--side-check): Ignore minibuffer window when walking
16613 (window-deletable-p): Return 'frame only if no other frame uses
16614 our minibuffer window.
16615 (record-window-buffer): Run buffer-list-update-hook.
16616 (split-window): Make sure window--check-frame won't destroy an
16617 existing atomic window in case the new window gets nested
16619 (display-buffer-at-bottom): Ignore minibuffer window when
16620 walking window tree. Don't split a side window.
16621 (pop-to-buffer): Don't set-buffer here, the select-window call
16623 (mouse-autoselect-window-select): Autoselect only if we are in the
16624 text portion of the window.
16626 2013-08-13 Lars Magne Ingebrigtsen <larsi@gnus.org>
16628 * net/shr.el (shr-parse-image-data): New function to grab both the
16629 data itself and the Content-Type.
16630 (shr-put-image): Use it.
16632 * net/eww.el (eww-display-image): Ditto.
16634 * image.el (image-content-type-suffixes): New variable.
16636 2013-08-13 Fabián Ezequiel Gallina <fgallina@gnu.org>
16638 * progmodes/python.el (python-imenu--build-tree)
16639 (python-imenu--put-parent): Simplify and Fix (GH bug 146).
16641 2013-08-13 Xue Fuqiao <xfq.free@gmail.com>
16643 * simple.el (backward-word): Mention the optional argument.
16645 2013-08-13 Stefan Monnier <monnier@iro.umontreal.ca>
16647 * frameset.el (frameset--make): Rename constructor from make-frameset.
16648 (frameset-p, frameset-valid-p): Don't autoload.
16649 (frameset-valid-p): Use normal accessors.
16651 2013-08-13 Glenn Morris <rgm@gnu.org>
16653 * progmodes/compile.el (compile-command): Tweak example in doc.
16654 * obsolete/scribe.el (scribe-mode):
16655 * progmodes/mixal-mode.el (mixal-mode): Quote buffer name. (Bug#15053)
16657 * mail/feedmail.el (feedmail-confirm-outgoing)
16658 (feedmail-display-full-frame, feedmail-deduce-bcc-where): Fix types.
16660 * cus-start.el (truncate-partial-width-windows): Fix type.
16662 * emulation/viper-init.el (viper-search-scroll-threshold): Fix type.
16664 * net/shr.el (shr-table-horizontal-line): Fix custom type.
16666 2013-08-13 Stefan Monnier <monnier@iro.umontreal.ca>
16668 * emacs-lisp/timer.el (timer--time-setter): New function.
16669 (timer--time): Use it as gv-setter.
16671 * emacs-lisp/gv.el (gv-define-simple-setter): Output warning when
16672 setter is not a symbol.
16674 2013-08-12 Grégoire Jadi <daimrod@gmail.com>
16676 * mail/sendmail.el (sendmail-send-it): Don't kill the error buffer
16677 if sending fails. This makes debugging easier.
16679 2013-08-12 Juanma Barranquero <lekktu@gmail.com>
16681 * xml.el (xml-parse-tag-1): Use looking-at (this reverts change in
16682 2013-08-11T00:07:48Z!lekktu@gmail.com, which breaks the test suite).
16683 https://lists.gnu.org/archive/html/emacs-devel/2013-08/msg00263.html
16685 2013-08-12 Eli Zaretskii <eliz@gnu.org>
16687 * term/w32-win.el (dynamic-library-alist): Add DLLs for zlib.
16689 2013-08-12 Glenn Morris <rgm@gnu.org>
16691 * format.el (format-annotate-function):
16692 Handle read-only text properties in the source. (Bug#14887)
16694 2013-08-11 Lars Magne Ingebrigtsen <larsi@gnus.org>
16696 * net/eww.el (eww-display-html): Ignore coding system errors.
16697 One web site uses "utf-8lias" as the coding system.
16699 2013-08-11 Juanma Barranquero <lekktu@gmail.com>
16701 * frameset.el (frameset-valid-p): Fix check; STATES can indeed be nil.
16703 2013-08-10 Juanma Barranquero <lekktu@gmail.com>
16705 * tutorial.el (tutorial--describe-nonstandard-key): Use string-match-p.
16706 (tutorial--detailed-help): Remove unused local variables.
16707 (tutorial--save-tutorial-to): Use ignore-errors.
16708 (help-with-tutorial): Use looking-at-p.
16710 * view.el (view-buffer-other-window, view-buffer-other-frame):
16711 Mark unused arguments.
16713 * woman.el (woman-parse-colon-path, woman-parse-colon-path)
16714 (woman-select-symbol-fonts, woman, woman-find-file)
16715 (woman-insert-file-contents, woman-non-underline-faces):
16716 Use string-match-p.
16717 (woman1-unquote): Move declaration.
16719 * xml.el (xml-parse-tag-1, xml-parse-string): Use looking-at-p.
16720 (xml-parse-dtd): Use looking-at-p, string-match-p. Mark unused
16721 argument. Remove unused local variable.
16722 (xml-parse-elem-type): Use string-match-p.
16723 (xml-substitute-numeric-entities): Use ignore-errors.
16725 * calculator.el (calculator): Mark unused argument.
16726 (calculator-paste, calculator-quit, calculator-integer-p):
16728 (calculator-string-to-number, calculator-decimal, calculator-exp)
16729 (calculator-op-or-exp): Use string-match-p.
16731 * dired.el (dired-buffer-more-recently-used-p): Declare.
16732 (dired-insert-set-properties, dired-insert-old-subdirs):
16735 * dired-aux.el (dired-compress): Use ignore-errors.
16736 (dired-do-chxxx, dired-do-chmod, dired-trample-file-versions)
16737 (dired-do-async-shell-command, dired-do-shell-command)
16738 (dired-shell-stuff-it, dired-compress-file, dired-insert-subdir)
16739 (dired-insert-subdir-validate): Use string-match-p.
16740 (dired-map-dired-file-lines, dired-subdir-hidden-p): Use looking-at-p.
16741 (dired-add-entry): Use string-match-p, looking-at-p.
16742 (dired-insert-subdir-newpos): Remove unused local variable.
16744 * filenotify.el (file-notify-callback): Remove unused local variable.
16746 * filesets.el (filesets-error): Mark unused argument.
16747 (filesets-which-command-p, filesets-filter-dir-names)
16748 (filesets-directory-files, filesets-get-external-viewer)
16749 (filesets-ingroup-get-data): Use string-match-p.
16751 * find-file.el (ff-other-file-name, ff-other-file-name)
16752 (ff-find-the-other-file, ff-cc-hh-converter):
16753 Remove unused local variables.
16754 (ff-get-file-name): Use string-match-p.
16755 (ff-all-dirs-under): Use ignore-errors.
16757 * follow.el (follow-comint-scroll-to-bottom): Mark unused argument.
16758 (follow-select-if-visible): Remove unused local variable.
16760 * forms.el (read-file-filter): Move declaration.
16761 (forms--make-format, forms--make-parser, forms-insert-record):
16762 Quote function with #'.
16763 (forms--update): Use string-match-p. Quote function with #'.
16765 * help-mode.el (help-dir-local-var-def): Mark unused argument.
16766 (help-make-xrefs): Use looking-at-p.
16767 (help-xref-on-pp): Use looking-at-p, ignore-errors.
16769 * ibuffer.el (ibuffer-ext-visible-p): Declare.
16770 (ibuffer-confirm-operation-on): Use string-match-p.
16772 * msb.el (msb-item-handler, msb-dired-item-handler):
16773 Mark unused arguments.
16775 * ses.el (ses-decode-cell-symbol)
16776 (ses-kill-override): Remove unused local variable.
16777 (ses-create-cell-variable, ses-relocate-formula): Use string-match-p.
16778 (ses-load): Use ignore-errors, looking-at-p.
16779 (ses-jump-safe): Use ignore-errors.
16780 (ses-export-tsv, ses-export-tsf, ses-unsafe): Mark unused arguments.
16782 * tabify.el (untabify, tabify): Mark unused arguments.
16784 * thingatpt.el (thing-at-point--bounds-of-well-formed-url):
16785 Mark unused argument.
16786 (bounds-of-thing-at-point, thing-at-point-bounds-of-list-at-point)
16787 (thing-at-point-newsgroup-p, form-at-point): Use ignore-errors.
16789 * emacs-lisp/timer.el (timer--time): Define setter with
16790 gv-define-setter to avoid deprecation warning.
16792 * completion.el: Remove stuff unused since revno:3176 (1993-05-27).
16793 (*record-cmpl-statistics-p*): Remove (was commented out).
16794 (cmpl-statistics-block): Remove (body was commented out).
16795 All callers changed.
16796 (add-completions-from-buffer, load-completions-from-file):
16797 Remove unused variables.
16799 2013-08-09 Juanma Barranquero <lekktu@gmail.com>
16801 * filecache.el (file-cache-delete-file-list):
16802 Print message only when told so.
16803 (file-cache-files-matching): Use #' in mapconcat argument.
16805 * ffap.el (ffap-url-at-point): Fix reference to variable
16806 thing-at-point-default-mail-uri-scheme.
16808 2013-08-09 Stefan Monnier <monnier@iro.umontreal.ca>
16810 * subr.el (define-error): New function.
16811 * progmodes/ada-xref.el (ada-error-file-not-found): Rename from
16812 error-file-not-found and define with define-error.
16813 * emacs-lisp/cl-lib.el (cl-assertion-failed): Move here from subr.el
16814 and define with define-error.
16815 * userlock.el (file-locked, file-supersession):
16816 * simple.el (mark-inactive):
16817 * progmodes/js.el (js-moz-bad-rpc, js-js-error):
16818 * progmodes/ada-mode.el (ada-mode-errors):
16819 * play/life.el (life-extinct):
16820 * nxml/xsd-regexp.el (xsdre-invalid-regexp, xsdre-parse-error):
16821 * nxml/xmltok.el (xmltok-markup-declaration-parse-error):
16822 * nxml/rng-util.el (rng-error):
16823 * nxml/rng-uri.el (rng-uri-error):
16824 * nxml/rng-match.el (rng-compile-error):
16825 * nxml/rng-cmpct.el (rng-c-incorrect-schema):
16826 * nxml/nxml-util.el (nxml-error, nxml-file-parse-error):
16827 * nxml/nxml-rap.el (nxml-scan-error):
16828 * nxml/nxml-outln.el (nxml-outline-error):
16829 * net/soap-client.el (soap-error):
16830 * net/gnutls.el (gnutls-error):
16831 * net/ange-ftp.el (ftp-error):
16832 * mpc.el (mpc-proc-error):
16833 * json.el (json-error, json-readtable-error, json-unknown-keyword)
16834 (json-number-format, json-string-escape, json-string-format)
16835 (json-key-format, json-object-format):
16836 * jka-compr.el (compression-error):
16837 * international/quail.el (quail-error):
16838 * international/kkc.el (kkc-error):
16839 * emacs-lisp/ert.el (ert-test-failed):
16840 * calc/calc.el (calc-error, inexact-result, math-overflow)
16842 * bookmark.el (bookmark-error-no-filename):
16843 * epg.el (epg-error): Define with define-error.
16845 * time.el (display-time-event-handler)
16846 (display-time-next-load-average): Don't call sit-for since it seems
16847 unnecessary (bug#15045).
16849 * emacs-lisp/checkdoc.el: Remove redundant :group keywords.
16850 Use #' instead of ' to quote functions.
16851 (checkdoc-output-mode): Use setq-local.
16852 (checkdoc-spellcheck-documentation-flag, checkdoc-ispell-lisp-words)
16853 (checkdoc-verb-check-experimental-flag, checkdoc-proper-noun-regexp)
16854 (checkdoc-common-verbs-regexp): Mark safe-local-variable (bug#15010).
16855 (checkdoc-ispell, checkdoc-ispell-current-buffer)
16856 (checkdoc-ispell-interactive, checkdoc-ispell-message-interactive)
16857 (checkdoc-ispell-message-text, checkdoc-ispell-start)
16858 (checkdoc-ispell-continue, checkdoc-ispell-comments)
16859 (checkdoc-ispell-defun): Remove unused arg `take-notes'.
16861 * ido.el (ido-completion-help): Fix up compiler warning.
16863 2013-08-09 Juanma Barranquero <lekktu@gmail.com>
16865 * frameset.el (frameset-p): Add autoload cookie.
16866 (frameset--jump-to-register): New function, based on code moved from
16868 (frameset-to-register): Move from register.el. Adapt to `registerv'.
16870 * register.el (frameset-frame-id, frameset-frame-with-id, frameset-p)
16871 (frameset-restore, frameset-save, frameset-session-filter-alist):
16872 Remove declarations.
16873 (register-alist): Doc fix.
16874 (frameset-to-register): Move to frameset.el.
16875 (jump-to-register, describe-register-1): Remove frameset-specific code.
16877 2013-08-08 Juanma Barranquero <lekktu@gmail.com>
16879 * allout-widgets.el (allout-widgets-pre-command-business)
16880 (allout-widgets-post-command-business)
16881 (allout-widgets-after-change-handler)
16882 (allout-decorate-item-and-context, allout-set-boundary-marker)
16883 (allout-body-modification-handler)
16884 (allout-graphics-modification-handler): Mark ignored arguments.
16885 (allout-widgets-post-command-business)
16886 (allout-widgets-exposure-change-processor)
16887 (allout-widgets-exposure-undo-processor)
16888 (allout-decorate-item-and-context, allout-redecorate-visible-subtree)
16889 (allout-parse-item-at-point, allout-decorate-item-guides)
16890 (allout-decorate-item-cue, allout-item-span): Remove unused variables.
16891 * allout.el (epa-passphrase-callback-function): Declare.
16892 (allout-overlay-insert-in-front-handler)
16893 (allout-overlay-interior-modification-handler)
16894 (allout-isearch-end-handler, allout-chart-siblings)
16895 (allout-up-current-level, allout-end-of-level, allout-reindent-body)
16896 (allout-yank-processing, allout-process-exposed)
16897 (allout-latex-verb-quote, allout-latexify-one-item, outlineify-sticky)
16898 (allout-latex-verbatim-quote-curr-line): Remove unused variables.
16899 * emacs-lisp/lisp-mode.el (lisp-eval-defun, last-sexp-toggle-display)
16900 (lisp-indent-defform): Mark ignored arguments.
16901 (lisp-indent-line): Mark ignored arguments. Remove unused variables.
16902 (calculate-lisp-indent): Remove unused variables.
16903 * international/characters.el (indian-2-column, arabic-2-column)
16904 (tibetan): Mark ignored arguments.
16905 (use-cjk-char-width-table): Mark ignored arguments.
16906 Remove unused variables.
16907 * international/fontset.el (build-default-fontset-data)
16908 (x-compose-font-name, create-fontset-from-fontset-spec):
16909 Mark ignored arguments.
16910 (fontset-plain-name): Remove unused variables.
16911 * international/mule.el (charset-id, charset-bytes, generic-char-p)
16912 (keyboard-coding-system): Mark ignored arguments.
16913 (find-auto-coding): Remove unused variables. Use `ignore-errors'.
16914 * help.el (resize-temp-buffer-window):
16915 * window.el (display-buffer-in-major-side-window)
16916 (display-buffer-in-side-window, display-buffer-in-previous-window):
16917 Remove unused variables.
16918 * isearch.el (isearch-forward-symbol):
16919 * version.el (emacs-bzr-version-bzr):
16920 * international/mule-cmds.el (current-language-environment):
16921 * term/common-win.el (x-handle-iconic, x-handle-geometry)
16922 (x-handle-display):
16923 * term/pc-win.el (x-list-fonts, x-display-planes)
16924 (x-display-color-cells, x-server-max-request-size, x-server-vendor)
16925 (x-server-version, x-display-screens, x-display-mm-height)
16926 (x-display-mm-width, x-display-backing-store, x-display-visual-class)
16927 (x-selection-owner-p, x-own-selection-internal)
16928 (x-disown-selection-internal, x-get-selection-internal)
16929 (msdos-initialize-window-system):
16930 * term/tty-colors.el (tty-color-alist, tty-color-clear):
16931 * term/x-win.el (x-handle-no-bitmap-icon):
16932 * vc/vc-hooks.el (vc-mode, vc-default-make-version-backups-p)
16933 (vc-default-find-file-hook, vc-default-extra-menu):
16934 Mark ignored arguments.
16936 2013-08-08 Stefan Monnier <monnier@iro.umontreal.ca>
16938 * emacs-lisp/edebug.el (edebug-debugger): Use edebug-eval to run the
16939 break-condition in the context of the debugged code (bug#12685).
16941 2013-08-08 Christopher Schmidt <christopher@ch.ristopher.com>
16944 Do not use an overlay to highlight the last prompt. (Bug#14744)
16945 (comint-mode): Make comint-last-prompt buffer local.
16946 (comint-last-prompt): New variable.
16947 (comint-last-prompt-overlay): Remove. Superseded by
16948 comint-last-prompt.
16949 (comint-snapshot-last-prompt, comint-output-filter):
16950 Use comint-last-prompt.
16952 2013-08-08 Juanma Barranquero <lekktu@gmail.com>
16954 * frameset.el (frameset-valid-p): Check vector length. Doc fix.
16955 (frameset-save): Check validity of the resulting frameset.
16957 2013-08-08 Xue Fuqiao <xfq.free@gmail.com>
16959 * ido.el (ido-record-command): Add doc string.
16961 2013-08-08 Juanma Barranquero <lekktu@gmail.com>
16963 * frameset.el (frameset): Do not disable creation of the default
16964 frameset-p predicate. Doc fix.
16965 (frameset-valid-p): New function, copied from the old predicate-p.
16966 Add additional checks.
16967 (frameset-restore): Check with frameset-valid-p.
16968 (frameset-p, frameset-version, frameset-timestamp, frameset-app)
16969 (frameset-name, frameset-description, frameset-properties)
16970 (frameset-states): Add docstring.
16971 (frameset-session-filter-alist, frameset-persistent-filter-alist)
16972 (frameset-filter-alist): Doc fixes.
16974 2013-08-08 Juanma Barranquero <lekktu@gmail.com>
16976 * frameset.el (frameset-p, frameset-prop): Doc fixes.
16978 2013-08-08 Stefan Monnier <monnier@iro.umontreal.ca>
16980 * emacs-lisp/bytecomp.el (byte-compile-function-warn): New function,
16981 extracted from byte-compile-callargs-warn and byte-compile-normal-call.
16982 (byte-compile-callargs-warn, byte-compile-function-form): Use it.
16983 (byte-compile-normal-call): Remove obsolescence check.
16985 2013-08-08 Juanma Barranquero <lekktu@gmail.com>
16987 * frameset.el (frameset-restore): Doc fix.
16989 * register.el (frameset-frame-id, frameset-frame-with-id)
16990 (frameset-p, frameset-restore, frameset-save): Declare.
16991 (register-alist): Document framesets.
16992 (frameset-session-filter-alist): Declare.
16993 (frameset-to-register): New function.
16994 (jump-to-register): Implement jumping to framesets. Doc fix.
16995 (describe-register-1): Describe framesets.
16997 * bindings.el (ctl-x-r-map): Bind ?f to frameset-to-register.
16999 2013-08-07 Juanma Barranquero <lekktu@gmail.com>
17001 * desktop.el (desktop-save-frameset): Use new frameset-save args.
17002 Use lexical-binding.
17004 * frameset.el (frameset): Use type vector, not list (incompatible
17005 change). Do not declare a new constructor, use the default one.
17006 Upgrade suggested properties `app', `name' and `desc' to slots `app',
17007 `name' and `description', respectively, and add read-only slot
17008 `timestamp'. Doc fixes.
17009 (frameset-copy, frameset-persistent-filter-alist)
17010 (frameset-filter-alist, frameset-switch-to-gui-p)
17011 (frameset-switch-to-tty-p, frameset-filter-tty-to-GUI)
17012 (frameset-filter-sanitize-color, frameset-filter-minibuffer)
17013 (frameset-filter-iconified, frameset-keep-original-display-p):
17015 (frameset-filter-shelve-param, frameset-filter-unshelve-param):
17016 Rename from frameset-filter-(save|restore)-param. All callers changed.
17018 (frameset-p): Adapt to change to vector and be more thorough.
17019 Change arg name to OBJECT. Doc fix.
17020 (frameset-prop): Rename arg PROP to PROPERTY. Doc fix.
17021 (frameset-session-filter-alist): Rename from frameset-live-filter-alist.
17022 All callers changed.
17023 (frameset-frame-with-id): Rename from frameset-locate-frame-id.
17024 All callers changed.
17025 (frameset--record-minibuffer-relationships): Rename from
17026 frameset--process-minibuffer-frames. All callers changed.
17027 (frameset-save): Add new keyword arguments APP, NAME and DESCRIPTION.
17028 Use new default constructor (again). Doc fix.
17029 (frameset--find-frame-if): Rename from `frameset--find-frame'.
17030 All callers changed.
17031 (frameset--reuse-frame): Rename arg FRAME-CFG to PARAMETERS.
17032 (frameset--initial-params): Rename arg FRAME-CFG to PARAMETERS.
17034 (frameset--restore-frame): Rename args FRAME-CFG and WINDOW-CFG to
17035 PARAMETERS and WINDOW-STATE, respectively.
17036 (frameset-restore): Add new keyword argument PREDICATE.
17037 Reset frameset--target-display to nil. Doc fix.
17039 2013-08-07 Stefan Monnier <monnier@iro.umontreal.ca>
17041 * progmodes/bat-mode.el (bat--syntax-propertize): New var.
17042 (bat-mode): Use it.
17043 (bat-mode-syntax-table): Mark \n as end-of-comment.
17044 (bat-font-lock-keywords): Remove comment rule.
17046 * progmodes/bat-mode.el: Rename from dos.el. Use "bat-" prefix.
17047 (dos-mode-help): Remove. Use describe-mode (C-h m) instead.
17049 * emacs-lisp/bytecomp.el: Check existence of f in #'f.
17050 (byte-compile-callargs-warn): Use `push'.
17051 (byte-compile-arglist-warn): Ignore higher-order "calls".
17052 (byte-compile-file-form-autoload): Use `pcase'.
17053 (byte-compile-function-form): If quoting a symbol, check that it exists.
17055 2013-08-07 Eli Zaretskii <eliz@gnu.org>
17057 * progmodes/dos.el (dos-font-lock-keywords): Rename LINUX to UNIX
17058 and add a few popular commands found in batch files.
17059 (dos, dos-label-face, dos-cmd-help, dos-run, dos-run-args)
17060 (dos-mode): Doc fixes.
17062 2013-08-07 Stefan Monnier <monnier@iro.umontreal.ca>
17064 * progmodes/dos.el (auto-mode-alist): Add entries for dos-mode.
17065 (dos-mode): Use setq-local. Add space after "rem".
17066 (dos-mode-syntax-table): Don't use "w" for symbol chars.
17067 (dos-font-lock-keywords): Try to adjust font-lock rules accordingly.
17069 2013-08-07 Arni Magnusson <arnima@hafro.is>
17071 * progmodes/dos.el: New file.
17072 * generic-x.el (bat-generic-mode): Redefine as an obsolete alias to
17075 2013-08-06 Glenn Morris <rgm@gnu.org>
17077 * calendar/calendar.el: Add new faces, and day-header-array.
17078 (calendar-weekday-header, calendar-weekend-header)
17079 (calendar-month-header): New faces.
17080 (calendar-day-header-construct): New function.
17081 (calendar-day-header-width): Also :set calendar-day-header-array.
17082 (calendar-american-month-header, calendar-european-month-header)
17083 (calendar-iso-month-header): Use calendar- faces.
17084 (calendar-generate-month):
17085 Use calendar-day-header-array for day headers; apply faces to them.
17086 (calendar-mode): Check calendar-font-lock-keywords non-nil.
17087 (calendar-abbrev-construct): Add optional maxlen argument.
17088 (calendar-day-name-array): Doc fix.
17089 (calendar-day-name-array, calendar-abbrev-length)
17090 (calendar-day-abbrev-array):
17091 Also :set calendar-day-header-array, and maybe redraw.
17092 (calendar-day-header-array): New option. (Bug#15007)
17093 (calendar-font-lock-keywords): Set to nil and make obsolete.
17094 (calendar-day-name): Add option to use header array.
17096 2013-08-06 Lars Magne Ingebrigtsen <larsi@gnus.org>
17098 * net/shr.el (shr-render-td): Remove debugging.
17099 (shr-render-td): Make width computation consistent by defaulting
17100 all zero-width columns to 10 characters. This may not be optimal,
17101 but it's at least consistent.
17102 (shr-make-table-1): Redo last change to fix the real problem in
17105 2013-08-06 Dmitry Antipov <dmantipov@yandex.ru>
17107 * files.el (cache-long-line-scans):
17108 Make obsolete alias to `cache-long-scans'.
17110 2013-08-06 Juanma Barranquero <lekktu@gmail.com>
17112 * frameset.el (frameset, frameset-filter-alist)
17113 (frameset-filter-params, frameset-save, frameset--reuse-frame)
17114 (frameset--minibufferless-last-p, frameset-restore): Doc fixes.
17115 (frameset-compute-pos): Rename from frameset--compute-pos,
17117 (frameset-move-onscreen): Use frameset-compute-pos.
17118 Most changes suggested by Drew Adams <drew.adams@oracle.com>.
17120 * find-lisp.el (find-lisp-line-indent, find-lisp-find-dired-filter):
17121 Fix typos in docstrings.
17123 2013-08-06 Dmitry Antipov <dmantipov@yandex.ru>
17125 * frame.el (get-other-frame): Tiny cleanup.
17127 2013-08-06 Juanma Barranquero <lekktu@gmail.com>
17129 * vc/vc.el (vc-default-ignore-completion-table):
17130 Silence byte-compiler warning.
17132 * frameset.el (frameset-p): Don't check non-nullness of the `properties'
17133 slot, which can indeed be nil.
17134 (frameset-live-filter-alist, frameset-persistent-filter-alist):
17135 Move entry for `left' from persistent to live filter alist.
17136 (frameset-filter-alist, frameset--minibufferless-last-p, frameset-save):
17138 (frameset-filter-params): When restoring a frame, copy items added to
17139 `filtered', to avoid unwittingly modifying the original parameters.
17140 (frameset-move-onscreen): Rename from frameset--move-onscreen. Doc fix.
17141 (frameset--restore-frame): Fix reference to frameset-move-onscreen.
17143 * dired.el (dired-insert-directory): Revert change in 2013-06-21T12:24:37Z!lekktu@gmail.com
17144 to use looking-at-p instead of looking-at. (Bug#15028)
17146 2013-08-05 Stefan Monnier <monnier@iro.umontreal.ca>
17148 Revert introduction of isearch-filter-predicates (bug#14714).
17149 Rely on add-function instead.
17150 * isearch.el (isearch-filter-predicates): Rename it back to
17151 isearch-filter-predicate.
17152 (isearch-message-prefix): Use advice-function-mapc and advice
17153 properties to get the isearch-message-prefix.
17154 (isearch-search, isearch-lazy-highlight-search): Revert to funcall
17155 instead of run-hook-with-args-until-failure.
17156 (isearch-filter-visible): Not obsolete any more.
17157 * loadup.el: Preload nadvice.
17158 * replace.el (perform-replace): Revert to funcall
17159 instead of run-hook-with-args-until-failure.
17160 * wdired.el (wdired-change-to-wdired-mode): Use add-function.
17161 * dired-aux.el (dired-isearch-filenames-mode): Rename from
17162 dired-isearch-filenames-toggle; make it into a proper minor mode.
17163 Use add/remove-function.
17164 (dired-isearch-filenames-setup, dired-isearch-filenames-end):
17165 Call the minor-mode rather than add/remove-hook.
17166 (dired-isearch-filter-filenames):
17167 Remove isearch-message-prefix property.
17168 * info.el (Info--search-loop): New function, extracted from Info-search.
17169 Funcall isearch-filter-predicate instead of
17170 run-hook-with-args-until-failure isearch-filter-predicates.
17171 (Info-search): Use it.
17172 (Info-mode): Use isearch-filter-predicate instead of
17173 isearch-filter-predicates.
17175 2013-08-05 Dmitry Antipov <dmantipov@yandex.ru>
17177 Do not call to `selected-window' where it is assumed by default.
17178 Affected functions are `window-minibuffer-p', `window-dedicated-p',
17179 `window-hscroll', `window-width', `window-height', `window-buffer',
17180 `window-frame', `window-start', `window-point', `next-window'
17181 and `window-display-table'.
17182 * abbrev.el (abbrev--default-expand):
17183 * bs.el (bs--show-with-configuration):
17184 * buff-menu.el (Buffer-menu-mouse-select):
17185 * calc/calc.el (calc):
17186 * calendar/calendar.el (calendar-generate-window):
17187 * calendar/diary-lib.el (diary-simple-display, diary-show-all-entries)
17188 (diary-make-entry):
17189 * comint.el (send-invisible, comint-dynamic-complete-filename)
17190 (comint-dynamic-simple-complete, comint-dynamic-list-completions):
17191 * completion.el (complete):
17192 * dabbrev.el (dabbrev-expand, dabbrev--make-friend-buffer-list):
17193 * disp-table.el (describe-current-display-table):
17194 * doc-view.el (doc-view-insert-image):
17195 * ebuff-menu.el (Electric-buffer-menu-mouse-select):
17196 * ehelp.el (with-electric-help):
17197 * emacs-lisp/easy-mmode.el (easy-mmode-define-navigation):
17198 * emacs-lisp/edebug.el (edebug-two-window-p, edebug-pop-to-buffer):
17199 * emacs-lisp/helper.el (Helper-help-scroller):
17200 * emulation/cua-base.el (cua--post-command-handler-1):
17201 * eshell/esh-mode.el (eshell-output-filter):
17202 * ffap.el (ffap-gnus-wrapper):
17203 * help-macro.el (make-help-screen):
17204 * hilit-chg.el (highlight-compare-buffers):
17205 * hippie-exp.el (hippie-expand, try-expand-dabbrev-visible):
17206 * hl-line.el (global-hl-line-highlight):
17207 * icomplete.el (icomplete-simple-completing-p):
17208 * isearch.el (isearch-done):
17209 * jit-lock.el (jit-lock-stealth-fontify):
17210 * mail/rmailsum.el (rmail-summary-scroll-msg-up):
17211 * mouse-drag.el (mouse-drag-should-do-col-scrolling):
17212 * mpc.el (mpc-tagbrowser, mpc):
17213 * net/rcirc.el (rcirc-any-buffer):
17214 * play/gomoku.el (gomoku-max-width, gomoku-max-height):
17215 * play/landmark.el (landmark-max-width, landmark-max-height):
17216 * play/zone.el (zone):
17217 * progmodes/compile.el (compilation-goto-locus):
17218 * progmodes/ebrowse.el (ebrowse-view/find-file-and-search-pattern):
17219 * progmodes/etags.el (find-tag-other-window):
17220 * progmodes/fortran.el (fortran-column-ruler):
17221 * progmodes/gdb-mi.el (gdb-mouse-toggle-breakpoint-fringe):
17222 * progmodes/verilog-mode.el (verilog-point-text):
17223 * reposition.el (reposition-window):
17224 * rot13.el (toggle-rot13-mode):
17225 * server.el (server-switch-buffer):
17226 * shell.el (shell-dynamic-complete-command)
17227 (shell-dynamic-complete-environment-variable):
17228 * simple.el (insert-buffer, set-selective-display)
17229 (delete-completion-window):
17230 * speedbar.el (speedbar-timer-fn, speedbar-center-buffer-smartly)
17231 (speedbar-recenter):
17232 * startup.el (fancy-splash-head):
17233 * textmodes/ispell.el (ispell-command-loop):
17234 * textmodes/makeinfo.el (makeinfo-compilation-sentinel-region):
17235 * tutorial.el (help-with-tutorial):
17236 * vc/add-log.el (add-change-log-entry):
17237 * vc/compare-w.el (compare-windows):
17238 * vc/ediff-help.el (ediff-indent-help-message):
17239 * vc/ediff-util.el (ediff-setup-control-buffer, ediff-position-region):
17240 * vc/ediff-wind.el (ediff-skip-unsuitable-frames)
17241 (ediff-setup-control-frame):
17242 * vc/emerge.el (emerge-position-region):
17243 * vc/pcvs-util.el (cvs-bury-buffer):
17244 * window.el (walk-windows, mouse-autoselect-window-select):
17245 * winner.el (winner-set-conf, winner-undo): Related users changed.
17247 2013-08-05 Juanma Barranquero <lekktu@gmail.com>
17249 * frameset.el (frameset--set-id): Doc fix.
17250 (frameset-frame-id, frameset-frame-id-equal-p)
17251 (frameset-locate-frame-id): New functions.
17252 (frameset--process-minibuffer-frames, frameset--reuse-frame)
17253 (frameset-restore): Use them.
17255 2013-08-05 Dmitry Antipov <dmantipov@yandex.ru>
17257 Do not call to `selected-frame' where it is assumed by default.
17258 Affected functions are `raise-frame', `redraw-frame',
17259 `frame-first-window', `frame-terminal' and `delete-frame'.
17260 * calendar/appt.el (appt-disp-window):
17261 * epg.el (epg-wait-for-completion):
17262 * follow.el (follow-delete-other-windows-and-split)
17263 (follow-avoid-tail-recenter):
17264 * international/mule.el (set-terminal-coding-system):
17265 * mail/rmail.el (rmail-mail-return):
17266 * net/newst-plainview.el (newsticker--buffer-set-uptodate):
17267 * progmodes/f90.el (f90-add-imenu-menu):
17268 * progmodes/idlw-toolbar.el (idlwave-toolbar-toggle):
17269 * server.el (server-switch-buffer):
17270 * simple.el (delete-completion-window):
17272 * term/xterm.el (terminal-init-xterm-modify-other-keys)
17273 (xterm-turn-on-modify-other-keys, xterm-remove-modify-other-keys):
17274 * vc/ediff-util.el (ediff-status-info, ediff-show-diff-output):
17275 * vc/ediff.el (ediff-documentation): Related users changed.
17276 * frame.el (selected-terminal): Remove the leftover.
17278 2013-08-05 Glenn Morris <rgm@gnu.org>
17280 * calendar/calendar.el (calendar-generate-month):
17281 Fix for calendar-column-width != 1 + calendar-day-digit-width.
17282 (calendar-generate-month, calendar-font-lock-keywords):
17283 Fix for calendar-day-header-width > length of any day name.
17285 2013-08-05 Juanma Barranquero <lekktu@gmail.com>
17287 * desktop.el (desktop-clear): Use new name of sort predicate.
17289 * frameset.el (frameset): Add docstring. Move :version property to its
17290 own `version' slot.
17291 (frameset-copy): Rename from copy-frameset.
17292 (frameset-p): Check more thoroughly.
17293 (frameset-prop): Do not check for :version, which is no longer a prop.
17294 (frameset-live-filter-alist, frameset-persistent-filter-alist):
17295 Use new :never value instead of t.
17296 (frameset-filter-alist): Expand and clarify docstring.
17297 (frameset-filter-tty-to-GUI, frameset-filter-sanitize-color)
17298 (frameset-filter-minibuffer, frameset-filter-save-param)
17299 (frameset-filter-restore-param, frameset-filter-iconified):
17300 Add pointer to docstring of frameset-filter-alist.
17301 (frameset-filter-params): Rename filter values to be more meaningful:
17302 :never instead of t, and reverse the meanings of :save and :restore.
17303 (frameset--process-minibuffer-frames): Clarify error message.
17304 (frameset-save): Avoid unnecessary and confusing call to framep.
17305 Use new BOA constructor for framesets.
17306 (frameset--reuse-list): Doc fix.
17307 (frameset--restore-frame): Rename from frameset--get-frame. Doc fix.
17308 (frameset--minibufferless-last-p): Rename from frameset--sort-states.
17309 (frameset-minibufferless-first-p): Doc fix.
17310 Rename from frameset-sort-frames-for-deletion.
17311 (frameset-restore): Doc fixes. Use new function names.
17312 Most changes suggested by Drew Adams <drew.adams@oracle.com>.
17314 2013-08-04 Juanma Barranquero <lekktu@gmail.com>
17316 * desktop.el (desktop-restore-forces-onscreen)
17317 (desktop-restore-reuses-frames): Document :keyword constant values.
17318 (desktop-filter-parameters-alist): Remove, now identical to
17319 frameset-filter-alist.
17320 (desktop--filter-tty*): Remove, moved to frameset.el.
17321 (desktop-save-frameset, desktop-restore-frameset):
17322 Do not pass :filters argument.
17324 * frameset.el (frameset-live-filter-alist)
17325 (frameset-persistent-filter-alist): New variables.
17326 (frameset-filter-alist): Use them. Add autoload cookie.
17327 (frameset-filter-tty-to-GUI): Move from desktop.el and rename.
17328 (frameset--set-id, frameset--reuse-frame): Rename `frame-id' to
17329 `frameset--id' (it's supposed to be internal to frameset.el).
17330 (frameset--process-minibuffer-frames): Ditto. Doc fix.
17331 (frameset--initial-params): New function.
17332 (frameset--get-frame): Use it. Doc fix.
17333 (frameset--move-onscreen): Accept new PRED value for FORCE-ONSCREEN.
17334 Accept :all, not 'all.
17335 (frameset-restore): Add new predicate values for FORCE-ONSCREEN and
17336 FORCE-DISPLAY. Use :keywords for constant arguments to avoid collision
17337 with fbound symbols. Fix frame id matching, and remove matching ids if
17338 the frame being restored is deleted. Obey :delete.
17340 2013-08-04 Stefan Monnier <monnier@iro.umontreal.ca>
17342 * subr.el (macrop): New function.
17343 (text-clone--maintaining): New var.
17344 (text-clone--maintain): Rename from text-clone-maintain. Use it
17345 instead of inhibit-modification-hooks.
17347 * emacs-lisp/nadvice.el (advice--normalize): For aliases to macros, use
17348 a proxy, so as handle autoloads and redefinitions of the target.
17349 (advice--defalias-fset, advice-remove): Use advice--symbol-function.
17351 * emacs-lisp/pcase.el (pcase-mutually-exclusive-predicates):
17352 Remove bogus (arrayp . stringp) pair. Add entries for `vectorp'.
17353 (pcase--mutually-exclusive-p): New function.
17354 (pcase--split-consp): Use it.
17355 (pcase--split-pred): Use it. Optimize the case where `pat' is a qpat
17356 mutually exclusive with the current predicate.
17358 * emacs-lisp/edebug.el (edebug-lookup-function): Remove function.
17359 (edebug-macrop): Remove. Use `macrop' instead.
17360 * emacs-lisp/advice.el (ad-subr-p): Remove. Use `subrp' instead.
17362 * eshell/esh-cmd.el (eshell-macrop):
17363 * apropos.el (apropos-macrop): Remove. Use `macrop' instead.
17365 2013-08-04 Stefan Monnier <monnier@iro.umontreal.ca>
17367 * emacs-lisp/nadvice.el (advice-function-mapc): Rename from advice-mapc.
17368 (advice-mapc): New function, using it.
17369 (advice-function-member-p): New function.
17370 (advice--normalize): Store the cdr in advice--saved-rewrite since
17371 that's the part that will be changed.
17372 (advice--symbol-function): New function.
17373 (advice-remove): Handle removal before the function is defined.
17374 Adjust to new advice--saved-rewrite.
17375 (advice-member-p): Use advice-function-member-p and
17376 advice--symbol-function.
17378 2013-08-04 Juanma Barranquero <lekktu@gmail.com>
17380 * frameset.el (frameset-p, frameset-save): Fix autoload cookies.
17381 (frameset-filter-minibuffer): Doc fix.
17382 (frameset-restore): Fix autoload cookie. Fix typo in docstring.
17383 (frameset--set-id, frameset--process-minibuffer-frames)
17384 (frameset-restore): Rename parameter `frameset-id' to `frame-id'.
17385 (frameset--reuse-frame): Pass correct frame-id to frameset--find-frame.
17387 * desktop.el (desktop-clear): Only delete frames when called
17388 interactively and desktop-restore-frames is non-nil. Doc fix.
17389 (desktop-read): Set desktop-saved-frameset to nil.
17391 2013-08-04 Xue Fuqiao <xfq.free@gmail.com>
17393 * vc/vc.el (vc-ignore): Rewrite.
17394 (vc-default-ignore-completion-table, vc--read-lines)
17395 (vc--add-line, vc--remove-regexp): New functions.
17397 * vc/vc-svn.el (vc-svn-ignore): Doc fix.
17398 (vc-svn-ignore-completion-table): New function.
17400 * vc/vc-hg.el (vc-hg-ignore): Rewrite.
17401 (vc-hg-ignore-completion-table)
17402 (vc-hg-find-ignore-file): New functions.
17404 * vc/vc-git.el (vc-git-ignore): Rewrite.
17405 (vc-git-ignore-completion-table)
17406 (vc-git-find-ignore-file): New functions.
17408 * vc/vc-dir.el (vc-dir-menu-map): Add menu for vc-dir-ignore.
17410 * vc/vc-bzr.el (vc-bzr-ignore): Rewrite.
17411 (vc-bzr-ignore-completion-table)
17412 (vc-bzr-find-ignore-file): New functions.
17414 2013-08-03 Juanma Barranquero <lekktu@gmail.com>
17416 * frameset.el (frameset-prop): New function and setter.
17417 (frameset-save): Do not modify frame list passed by the caller.
17419 2013-08-03 Stefan Monnier <monnier@iro.umontreal.ca>
17421 * emacs-lisp/package.el (package-desc-from-define): Ignore unknown keys.
17423 2013-08-02 Stefan Monnier <monnier@iro.umontreal.ca>
17425 * emacs-lisp/easy-mmode.el (define-globalized-minor-mode)
17426 (easy-mmode-define-navigation): Avoid ((lambda (..) ..) ...).
17428 * custom.el (custom-initialize-default, custom-initialize-set)
17429 (custom-initialize-reset, custom-initialize-changed): Affect the
17430 toplevel-default-value (bug#6275, bug#14586).
17431 * emacs-lisp/advice.el (ad-compile-function): Undo previous workaround
17434 2013-08-02 Juanma Barranquero <lekktu@gmail.com>
17436 * emacs-lisp/lisp-mode.el (lisp-imenu-generic-expression):
17437 Add cl-def* expressions.
17439 * frameset.el (frameset-filter-params): Fix order of arguments.
17441 2013-08-02 Juanma Barranquero <lekktu@gmail.com>
17443 Move code related to saving frames to frameset.el.
17444 * desktop.el: Require frameset.
17445 (desktop-restore-frames): Doc fix.
17446 (desktop-restore-reuses-frames): Rename from
17447 desktop-restoring-reuses-frames.
17448 (desktop-saved-frameset): Rename from desktop-saved-frame-states.
17449 (desktop-clear): Clear frames too.
17450 (desktop-filter-parameters-alist): Set from frameset-filter-alist.
17451 (desktop--filter-tty*, desktop-save, desktop-read):
17452 Use frameset functions.
17453 (desktop-before-saving-frames-functions, desktop--filter-*-color)
17454 (desktop--filter-minibuffer, desktop--filter-restore-desktop-parm)
17455 (desktop--filter-save-desktop-parm, desktop--filter-iconified-position)
17456 (desktop-restore-in-original-display-p, desktop--filter-frame-parms)
17457 (desktop--process-minibuffer-frames, desktop-save-frames)
17458 (desktop--reuse-list, desktop--compute-pos, desktop--move-onscreen)
17459 (desktop--find-frame, desktop--select-frame, desktop--make-frame)
17460 (desktop--sort-states, desktop-restoring-frames-p)
17461 (desktop-restore-frames): Remove. Most code moved to frameset.el.
17462 (desktop-restoring-frameset-p, desktop-restore-frameset)
17463 (desktop--check-dont-save, desktop-save-frameset): New functions.
17464 (desktop--app-id): New constant.
17465 (desktop-first-buffer, desktop-buffer-ok-count)
17466 (desktop-buffer-fail-count): Move before first use.
17467 * frameset.el: New file.
17469 2013-08-01 Stefan Monnier <monnier@iro.umontreal.ca>
17471 * files.el: Use lexical-binding.
17472 (dir-locals-read-from-file): Remove unused `err' variable.
17473 (hack-dir-local-variables--warned-coding): New var.
17474 (hack-dir-local-variables): Use it to avoid repeated warnings.
17475 (make-backup-file-name--default-function): New function.
17476 (make-backup-file-name-function): Use it as default.
17477 (buffer-stale--default-function): New function.
17478 (buffer-stale-function): Use it as default.
17479 (revert-buffer-insert-file-contents--default-function): New function.
17480 (revert-buffer-insert-file-contents-function): Use it as default.
17481 (insert-directory): Avoid add-to-list.
17483 * autorevert.el (auto-revert-handler): Simplify.
17484 Use buffer-stale--default-function.
17486 2013-08-01 Tassilo Horn <tsdh@gnu.org>
17488 * speedbar.el (speedbar-query-confirmation-method): Doc fix.
17490 * whitespace.el (whitespace-ensure-local-variables): New function.
17491 (whitespace-cleanup-region): Call it.
17492 (whitespace-turn-on): Call it.
17494 2013-08-01 Michael Albinus <michael.albinus@gmx.de>
17496 Complete file name handlers.
17498 * net/tramp.el (tramp-handle-set-visited-file-modtime)
17499 (tramp-handle-verify-visited-file-modtime)
17500 (tramp-handle-file-notify-rm-watch): New functions.
17501 (tramp-call-process): Do not bind `default-directory'.
17503 * net/tramp-adb.el (tramp-adb-file-name-handler-alist):
17504 Order alphabetically.
17505 <access-file, add-name-to-file, dired-call-process>:
17506 <dired-compress-file, file-acl, file-notify-rm-watch>:
17507 <file-ownership-preserved-p, file-selinux-context>:
17508 <make-directory-internal, make-symbolic-link, set-file-acl>:
17509 <set-file-selinux-context, set-visited-file-modtime>:
17510 <verify-visited-file-modtime>: Add handler.
17511 (tramp-adb-handle-write-region): Apply `set-visited-file-modtime'.
17513 * net/tramp-gvfs.el (tramp-gvfs-file-name-handler-alist)
17514 <file-notify-add-watch, file-notify-rm-watch>:
17515 <set-file-times, set-visited-file-modtime>:
17516 <verify-visited-file-modtime>: Add handler.
17517 (with-tramp-gvfs-error-message)
17518 (tramp-gvfs-handle-set-visited-file-modtime)
17519 (tramp-gvfs-fuse-file-name): Remove.
17520 (tramp-gvfs-handle-file-notify-add-watch)
17521 (tramp-gvfs-file-gvfs-monitor-file-process-filter): New defuns.
17522 (tramp-gvfs-handle-write-region): Fix error in moving tmpfile.
17524 * net/tramp-sh.el (tramp-sh-file-name-handler-alist):
17525 Order alphabetically.
17526 <file-notify-rm-watch>: Use default Tramp handler.
17527 <executable-find>: Remove private handler.
17528 (tramp-do-copy-or-rename-file-out-of-band): Do not bind
17529 `default-directory'.
17530 (tramp-sh-handle-executable-find)
17531 (tramp-sh-handle-file-notify-rm-watch): Remove functions.
17532 (tramp-sh-file-gvfs-monitor-dir-process-filter)
17533 (tramp-sh-file-inotifywait-process-filter, tramp-set-remote-path):
17534 Do not use `format' in `tramp-message'.
17536 * net/tramp-smb.el (tramp-smb-file-name-handler-alist)
17537 <file-notify-rm-watch, set-visited-file-modtime>:
17538 <verify-visited-file-modtime>: Add handler.
17539 (tramp-smb-call-winexe): Do not bind `default-directory'.
17541 2013-08-01 Xue Fuqiao <xfq.free@gmail.com>
17543 * vc/vc-hooks.el (vc-menu-map): Fix menu entry for vc-ignore.
17545 2013-07-31 Dmitry Gutov <dgutov@yandex.ru>
17547 * vc/log-view.el (log-view-diff): Extract `log-view-diff-common',
17549 (log-view-diff-changeset): Same.
17550 (log-view-diff-common): Call backend command `previous-revision'
17551 to find out the previous revision, in both cases. Swap the
17552 variables `to' and `fr', so that `fr' usually refers to the
17553 earlier revision (Bug#14989).
17555 2013-07-31 Kan-Ru Chen <kanru@kanru.info>
17557 * ibuf-ext.el (ibuffer-filter-by-filename):
17558 Make it work with dired buffers too.
17560 2013-07-31 Dmitry Antipov <dmantipov@yandex.ru>
17562 * emacs-lisp/re-builder.el (reb-color-display-p):
17563 * files.el (save-buffers-kill-terminal):
17564 * net/browse-url.el (browse-url):
17565 * server.el (server-save-buffers-kill-terminal):
17566 * textmodes/reftex-toc.el (reftex-toc, reftex-toc-revert):
17567 Prefer nil to selected-frame for the first arg of frame-parameter.
17569 2013-07-31 Xue Fuqiao <xfq.free@gmail.com>
17571 * vc/vc-hooks.el (vc-menu-map): Add menu entry for vc-ignore.
17573 2013-07-30 Stephen Berman <stephen.berman@gmx.net>
17575 * minibuffer.el (completion--twq-all): Try and preserve each
17576 completion's case choice (bug#14907).
17578 2013-07-30 Lars Magne Ingebrigtsen <larsi@gnus.org>
17580 * net/network-stream.el (open-network-stream): Mention the new
17581 :nogreeting parameter.
17582 (network-stream-open-starttls): Use the :nogreeting parameter
17585 * net/shr.el (shr-mouse-browse-url): Remove and use `shr-browse-url'.
17587 * net/eww.el (eww-setup-buffer): Switching to the buffer seems
17588 more natural than popping.
17590 * net/shr.el (shr-urlify): Put `follow-link' on URLs (bug#14815).
17591 (shr-urlify): Highlight under mouse.
17593 2013-07-30 Xue Fuqiao <xfq.free@gmail.com>
17595 * vc/vc-hooks.el (vc-prefix-map): Add key binding for vc-ignore.
17597 * vc/vc-dir.el (vc-dir-mode-map): Change key binding for vc-dir-ignore.
17599 * vc/vc-svn.el (vc-svn-ignore): Remove `interactive'. Use `*vc*'
17602 * vc/vc-hg.el (vc-hg-ignore): Remove `interactive'. Do not assume
17603 point-min==1. Fix search string. Fix parentheses missing.
17605 * vc/vc-git.el (vc-git-ignore): Remove `interactive'. Do not
17606 assume point-min==1. Fix search string. Fix parentheses missing.
17608 * vc/vc-cvs.el (vc-cvs-ignore): Remove `interactive'.
17610 * vc/vc-bzr.el (vc-bzr-ignore): Remove `interactive'. Use `*vc*'
17613 2013-07-29 Eli Zaretskii <eliz@gnu.org>
17615 * frame.el (frame-notice-user-settings): Avoid inflooping when the
17616 initial frame is minibuffer-less. (Bug#14841)
17618 2013-07-29 Michael Albinus <michael.albinus@gmx.de>
17620 * net/tramp.el (tramp-use-ssh-controlmaster-options): New customer
17623 * net/tramp-sh.el (tramp-do-copy-or-rename-file-out-of-band)
17624 (tramp-maybe-open-connection): Use it.
17626 2013-07-28 Juanma Barranquero <lekktu@gmail.com>
17628 * desktop.el (desktop--make-frame): Include `minibuffer' in the
17629 minimal set of parameters passed when creating a frame, because
17630 the minibuffer status of a frame cannot be changed later.
17632 2013-07-28 Stephen Berman <stephen.berman@gmx.net>
17634 * calendar/todo-mode.el (todo-rename-file): Fix incorrect use of
17635 replace-regexp-in-string and inadvertent omissions in previous change.
17636 (todo-filter-items): Ensure only file names are comma-separated in
17637 name of filtered items buffer.
17639 2013-07-28 Juanma Barranquero <lekktu@gmail.com>
17641 * desktop.el: Optionally force offscreen frames back onscreen.
17642 (desktop-restoring-reuses-frames): New option.
17643 (desktop--compute-pos, desktop--move-onscreen): New functions.
17644 (desktop--make-frame): Use desktop--move-onscreen.
17646 2013-07-27 Alan Mackenzie <acm@muc.de>
17648 Fontify a Java generic method as a function.
17649 * progmodes/cc-langs.el (c-recognize-<>-arglists): Set the Java
17652 2013-07-27 Stephen Berman <stephen.berman@gmx.net>
17654 * calendar/todo-mode.el: Add command to rename todo files.
17655 (todo-rename-file): New command.
17656 (todo-key-bindings-t): Add key binding for it. Change the
17657 bindings of todo-filter-regexp-items(-multifile) to use `x'
17658 instead of `r', since the latter is better suited to the new
17661 2013-07-27 Alan Mackenzie <acm@muc.de>
17663 Make Java try-with-resources statement parse properly.
17664 * progmodes/cc-langs.el (c-block-stmt-1-2-kwds)
17665 (c-block-stmt-1-2-key): New language constants/variables.
17666 * progmodes/cc-engine.el (c-beginning-of-statement-1)
17667 (c-after-conditional): Adapt to deal with c-block-stmt-1-2-key.
17668 * progmodes/cc-fonts.el (c-font-lock-declarations): Adapt to deal
17669 with c-block-stmt-1-2-key.
17671 2013-07-27 Juanma Barranquero <lekktu@gmail.com>
17673 * desktop.el (desktop--make-frame): Apply most frame parameters after
17674 creating the frame to force (partially or totally) offscreen frames to
17675 be restored as such.
17677 2013-07-26 Xue Fuqiao <xfq.free@gmail.com>
17679 * vc/vc-dir.el (vc-dir-mode-map): Add binding for vc-root-diff.
17682 2013-07-26 Stefan Monnier <monnier@iro.umontreal.ca>
17684 * emacs-lisp/nadvice.el (advice--called-interactively-skip): Use the new
17685 `base' arg of backtrace-frame.
17687 2013-07-26 Eli Zaretskii <eliz@gnu.org>
17689 * simple.el (list-processes): Doc fix.
17691 2013-07-26 Juanma Barranquero <lekktu@gmail.com>
17693 * desktop.el (desktop--select-frame):
17694 Try harder to reuse existing frames.
17696 2013-07-26 Stefan Monnier <monnier@iro.umontreal.ca>
17698 * emacs-lisp/edebug.el: Use backtrace-eval to handle lexical variables.
17699 (edebug-eval): Use backtrace-eval.
17700 (edebug--display, edebug--recursive-edit): Don't let-bind the
17701 edebug-outer-* vars that keep track of variables we locally let-bind.
17702 (edebug-outside-excursion): Don't restore outside values of locally
17704 (edebug--display): Use user-error.
17705 (cl-lexical-debug, cl-debug-env): Remove.
17707 2013-07-26 Juanma Barranquero <lekktu@gmail.com>
17709 * desktop.el (desktop-restore-frames): Call `sit-for' once all frames
17710 are restored to be sure that they are visible before deleting any
17713 2013-07-26 Matthias Meulien <orontee@gmail.com>
17715 * vc/vc-dir.el (vc-dir-mode-map): Add binding for
17716 vc-print-root-log. (Bug#14948)
17718 2013-07-26 Richard Stallman <rms@gnu.org>
17720 Add aliases for encrypting mail.
17721 * epa.el (epa-mail-aliases): New option.
17722 * epa-mail.el (epa-mail-encrypt): Rewrite to be callable from programs.
17723 Bind inhibit-read-only so read-only text doesn't ruin everything.
17724 (epa-mail-default-recipients): New subroutine broken out.
17725 Handle epa-mail-aliases.
17727 2013-07-26 Stefan Monnier <monnier@iro.umontreal.ca>
17729 Add support for lexical variables to the debugger's `e' command.
17730 * emacs-lisp/debug.el (debug): Don't let-bind the debugger-outer-*
17731 vars, except for debugger-outer-match-data.
17732 (debugger-frame-number): Move check for "on a function call" from
17733 callers into it. Add `skip-base' argument.
17734 (debugger-frame, debugger-frame-clear): Simplify accordingly.
17735 (debugger-env-macro): Only reset the state stored in non-variables,
17736 i.e. current-buffer and match-data.
17737 (debugger-eval-expression): Rewrite using backtrace-eval.
17738 * subr.el (internal--called-interactively-p--get-frame): Remove.
17739 (called-interactively-p):
17740 * emacs-lisp/edebug.el (edebug--called-interactively-skip): Use the new
17741 `base' arg of backtrace-frame instead.
17743 2013-07-26 Glenn Morris <rgm@gnu.org>
17745 * align.el (align-regexp): Doc fix. (Bug#14857)
17746 (align-region): Explicit error if subexpression missing/does not match.
17748 * simple.el (global-visual-line-mode):
17749 Do not duplicate the mode lighter. (Bug#14858)
17751 2013-07-25 Martin Rudalics <rudalics@gmx.at>
17753 * window.el (display-buffer): In display-buffer bind
17754 split-window-keep-point to t, bug#14829.
17756 2013-07-25 Juanma Barranquero <lekktu@gmail.com>
17758 * desktop.el: Rename internal "desktop-X" frame params to "desktop--X".
17759 (desktop-filter-parameters-alist, desktop--filter-restore-desktop-parm)
17760 (desktop--filter-save-desktop-parm, desktop--process-minibuffer-frames)
17761 (desktop--select-frame, desktop--sort-states, desktop-restore-frames):
17762 Change accordingly.
17763 (desktop--select-frame, desktop--sort-states, desktop-restore-frames):
17764 Use pcase-let, pcase-let* to deobfuscate access to desktop--mini values.
17766 2013-07-25 Glenn Morris <rgm@gnu.org>
17768 * dired-x.el (dired-mark-extension): Convert comment to doc string.
17770 2013-07-25 Juanma Barranquero <lekktu@gmail.com>
17772 * desktop.el (desktop--make-frame): Do not pass the `fullscreen'
17773 parameter to modify-frame-parameters if the value has not changed;
17774 this is a workaround for bug#14949.
17775 (desktop--make-frame): On cl-delete-if call, check parameter name,
17776 not full parameter.
17778 2013-07-30 Xue Fuqiao <xfq.free@gmail.com>
17780 * vc/vc.el (vc-ignore): New function.
17782 * vc/vc-svn.el (vc-svn-ignore): New function.
17784 * vc/vc-hg.el (vc-hg-ignore): New function.
17786 * vc/vc-git.el (vc-git-ignore): New function.
17788 * vc/vc-dir.el (vc-dir-mode-map): Add key binding for vc-dir-ignore
17789 (vc-dir-ignore): New function.
17791 * vc/vc-cvs.el (vc-cvs-ignore): New function.
17792 (cvs-append-to-ignore): Move here from pcvs.el.
17794 * vc/vc-bzr.el (vc-bzr-ignore): New function.
17796 * vc/pcvs.el (vc-cvs): Require 'vc-cvs.
17798 2013-07-24 Juanma Barranquero <lekktu@gmail.com>
17800 * desktop.el (desktop-restoring-frames-p): Return a true boolean.
17801 (desktop-restore-frames): Warn when deleting an existing frame failed.
17803 2013-07-24 Glenn Morris <rgm@gnu.org>
17805 * ffap.el (ffap-machine-p): Handle "not known" response. (Bug#14929)
17807 2013-07-24 Michael Albinus <michael.albinus@gmx.de>
17809 * filenotify.el (file-notify-supported-p):
17810 * net/tramp-sh.el (tramp-sh-handle-file-notify-supported-p):
17813 * autorevert.el (auto-revert-use-notify)
17814 (auto-revert-notify-add-watch):
17815 * net/tramp.el (tramp-file-name-for-operation):
17816 * net/tramp-adb.el (tramp-adb-file-name-handler-alist):
17817 * net/tramp-gvfs.el (tramp-gvfs-file-name-handler-alist):
17818 * net/tramp-sh.el (tramp-sh-file-name-handler-alist):
17819 * net/tramp-smb.el (tramp-smb-file-name-handler-alist):
17820 Remove `file-notify-supported-p' entry.
17822 2013-07-24 Glenn Morris <rgm@gnu.org>
17824 * printing.el: Replace all uses of deleted ps-windows-system,
17825 ps-lp-system, ps-flatten-list with lpr- versions.
17827 2013-07-24 Stefan Monnier <monnier@iro.umontreal.ca>
17829 * emacs-lisp/pcase.el (pcase--u1): Verify if self-quoting values can be
17830 checked with memq (bug#14935).
17832 * files.el (revert-buffer-function): Use a non-nil default.
17833 (revert-buffer-preserve-modes): Declare var to
17834 provide access to the `preserve-modes' argument.
17835 (revert-buffer): Let-bind it.
17836 (revert-buffer--default): New function, extracted from revert-buffer.
17838 2013-07-24 Stefan Monnier <monnier@iro.umontreal.ca>
17840 * lpr.el: Signal print errors more prominently.
17841 (print-region-function): Don't default to nil.
17842 (lpr-print-region): New function, extracted from print-region-1.
17843 Check lpr's return value and signal an error in case of problem.
17844 (print-region-1): Use it.
17845 * ps-print.el (ps-windows-system, ps-lp-system): Remove. Use the lpr-*
17847 (ps-printer-name): Default to nil.
17848 (ps-printer-name-option): Default to lpr-printer-switch.
17849 (ps-print-region-function): Don't default to nil.
17850 (ps-postscript-code-directory): Simplify default.
17851 (ps-do-despool): Use lpr-print-region to properly check the outcome.
17852 (ps-string-list, ps-eval-switch, ps-flatten-list)
17853 (ps-flatten-list-1): Remove.
17854 (ps-multibyte-buffer): Avoid setq.
17855 * dos-w32.el (direct-print-region-helper): Use proper regexp operators.
17856 (print-region-function, ps-print-region-function): Don't set them here.
17858 2013-07-24 Xue Fuqiao <xfq.free@gmail.com>
17860 * ido.el (ido-fractionp, ido-cache-ftp-work-directory-time)
17861 (ido-max-prospects, ido-mode, ido-max-file-prompt-width)
17862 (ido-unc-hosts-cache, ido-max-directory-size, ido-max-dir-file-cache)
17863 (ido-decorations): Doc fix.
17865 * ansi-color.el: Fix old URL.
17867 2013-07-23 Michael R. Mauger <michael@mauger.com>
17869 * progmodes/sql.el: Version 3.3
17870 (sql-product-alist): Improve oracle :prompt-cont-regexp.
17871 (sql-starts-with-prompt-re, sql-ends-with-prompt-re): New functions.
17872 (sql-interactive-remove-continuation-prompt): Rewrite, use
17873 functions above. Fix continuation prompt and complete output line
17875 (sql-redirect-one, sql-execute): Use `read-only-mode' on
17876 redirected output buffer.
17877 (sql-mode): Restore deleted code (Bug#13591).
17879 2013-07-23 Juanma Barranquero <lekktu@gmail.com>
17881 * desktop.el (desktop-clear, desktop-list*): Fix previous change.
17883 2013-07-23 Michael Albinus <michael.albinus@gmx.de>
17885 * net/tramp.el (tramp-handle-file-notify-add-watch): New defun.
17887 * net/tramp-adb.el (tramp-adb-file-name-handler-alist):
17888 * net/tramp-gvfs.el (tramp-gvfs-file-name-handler-alist):
17889 * net/tramp-smb.el (tramp-smb-file-name-handler-alist): Use it.
17891 2013-07-23 Juanma Barranquero <lekktu@gmail.com>
17893 * desktop.el (desktop-clear): Simplify; remove useless checks
17894 against invalid buffer names.
17895 (desktop-list*): Use cl-list*.
17896 (desktop-buffer-info, desktop-create-buffer): Simplify.
17898 2013-07-23 Leo Liu <sdl.web@gmail.com>
17900 * bookmark.el (bookmark-make-record): Restore NAME as a default
17903 2013-07-22 Stefan Monnier <monnier@iro.umontreal.ca>
17905 * emacs-lisp/autoload.el (autoload--setup-output): New function,
17906 extracted from autoload--insert-text.
17907 (autoload--insert-text): Remove.
17908 (autoload--print-cookie-text): New function, extracted from
17909 autoload--insert-cookie-text.
17910 (autoload--insert-cookie-text): Remove.
17911 (autoload-generate-file-autoloads): Adjust calls accordingly.
17913 * winner.el (winner-hook-installed-p): Remove.
17914 (winner-mode): Simplify accordingly.
17916 * subr.el (add-to-list): Fix compiler-macro when `append' is
17917 not constant. Don't use `cl-member' for the base case.
17919 * progmodes/subword.el: Fix boundary case (bug#13758).
17920 (subword-forward-regexp): Make it a constant. Wrap optional \\W in its
17922 (subword-backward-regexp): Make it a constant.
17923 (subword-forward-internal): Don't treat a trailing capital as the
17924 beginning of a word.
17926 2013-07-22 Ari Roponen <ari.roponen@gmail.com> (tiny change)
17928 * emacs-lisp/package.el (package-menu-mode): Don't modify the
17929 global value of tabulated-list-revert-hook (bug#14930).
17931 2013-07-22 Juanma Barranquero <lekktu@gmail.com>
17933 * desktop.el: Require 'cl-lib.
17934 (desktop-before-saving-frames-functions): New hook.
17935 (desktop--process-minibuffer-frames): Set desktop-mini parameter only
17936 for frames being saved. Rename from desktop--save-minibuffer-frames.
17937 (desktop-save-frames): Run hook desktop-before-saving-frames-functions.
17938 Do not save frames with non-nil `desktop-dont-save' parameter.
17939 Filter out deleted frames.
17940 (desktop--find-frame): Use cl-find-if.
17941 (desktop--select-frame): Use cl-(first|second|third) to access values
17943 (desktop--make-frame): Use cl-delete-if.
17944 (desktop--sort-states): Fix sorting of minibuffer-owning frames.
17945 (desktop-restore-frames): Use cl-(first|second|third) to access values
17946 of desktop-mini. Look for visible frame at the end, not while
17949 * dired-x.el (dired-mark-unmarked-files, dired-virtual)
17950 (dired-guess-default, dired-mark-sexp, dired-filename-at-point):
17951 Use string-match-p, looking-at-p (bug#14927).
17953 2013-07-21 Juanma Barranquero <lekktu@gmail.com>
17955 * desktop.el (desktop-saved-frame-states):
17956 Rename from desktop--saved-states; all users changed.
17957 (desktop-save-frames): Rename from desktop--save-frames.
17958 Do not save state to desktop file.
17959 (desktop-save): Save desktop-saved-frame-states to desktop file
17961 (desktop-restoring-frames-p): New function.
17962 (desktop-restore-frames): Use it. Rename from desktop--restore-frames.
17963 (desktop-read): Use desktop-restoring-frames-p. Do not try to fix
17964 buffer-lists when restoring frames. Suggested by Martin Rudalics.
17966 * desktop.el: Correctly restore iconified frames.
17967 (desktop--filter-iconified-position): New function.
17968 (desktop-filter-parameters-alist): Add entries for `top' and `left'.
17970 2013-07-20 Glenn Morris <rgm@gnu.org>
17972 * progmodes/gdb-mi.el (gdb-delete-handler, gdb-stopped):
17973 Let `message' do the formatting.
17974 (def-gdb-preempt-display-buffer): Add explicit format.
17976 * image-dired.el (image-dired-track-original-file):
17977 Use with-current-buffer.
17978 (image-dired-track-thumbnail): Use with-current-buffer.
17979 Avoid changing point of wrong window.
17981 * image-dired.el (image-dired-track-original-file):
17982 Avoid changing point of wrong window. (Bug#14909)
17984 2013-07-20 Richard Copley <rcopley@gmail.com> (tiny change)
17986 * progmodes/gdb-mi.el (gdb-done-or-error):
17987 Guard against "%" in gdb output. (Bug#14127)
17989 2013-07-20 Andreas Schwab <schwab@linux-m68k.org>
17991 * progmodes/sh-script.el (sh-read-variable): Remove interactive spec.
17994 * international/mule.el (coding-system-iso-2022-flags): Fix last
17997 2013-07-20 Kenichi Handa <handa@gnu.org>
17999 * international/mule.el (coding-system-iso-2022-flags):
18000 Add `8-bit-level-4'. (Bug#8522)
18002 2013-07-19 Lars Magne Ingebrigtsen <larsi@gnus.org>
18004 * net/shr.el (shr-mouse-browse-url): New command and keystroke
18007 * net/eww.el (eww-process-text-input): Allow inputting when the
18008 point is at the start of the line, as the properties aren't
18011 * net/shr.el (shr-make-table-1): Ensure that we don't infloop on
18014 2013-07-19 Richard Stallman <rms@gnu.org>
18016 * epa.el (epa-popup-info-window): Doc fix.
18018 * subr.el (split-string): New arg TRIM.
18020 2013-07-18 Juanma Barranquero <lekktu@gmail.com>
18022 * frame.el (blink-cursor-timer-function, blink-cursor-suspend):
18023 Add check for W32 (followup to 2013-07-16T11:41:06Z!jan.h.d@swipnet.se).
18025 2013-07-18 Michael Albinus <michael.albinus@gmx.de>
18027 * filenotify.el (file-notify--library): Rename from
18028 `file-notify-support'. Do not autoload. Adapt all uses.
18029 (file-notify-supported-p): New defun.
18031 * autorevert.el (auto-revert-use-notify):
18032 Use `file-notify-supported-p' instead of `file-notify-support'.
18034 (auto-revert-notify-add-watch): Use `file-notify-supported-p'.
18036 * net/tramp.el (tramp-file-name-for-operation):
18037 Add `file-notify-supported-p'.
18039 * net/tramp-sh.el (tramp-sh-handle-file-notify-supported-p):
18041 (tramp-sh-file-name-handler-alist): Add it as handler for
18042 `file-notify-supported-p '.
18044 * net/tramp-adb.el (tramp-adb-file-name-handler-alist):
18045 * net/tramp-gvfs.el (tramp-gvfs-file-name-handler-alist):
18046 * net/tramp-smb.el (tramp-smb-file-name-handler-alist):
18047 Add `ignore' as handler for `file-notify-*' functions.
18049 2013-07-17 Eli Zaretskii <eliz@gnu.org>
18051 * simple.el (line-move-partial, line-move): Don't start vscroll or
18052 scroll-up if the current line is not taller than the window.
18055 2013-07-16 Dmitry Gutov <dgutov@yandex.ru>
18057 * progmodes/ruby-mode.el (ruby-font-lock-keywords): Do not
18058 highlight question marks in the method names as strings.
18059 (ruby-block-beg-keywords): Inline.
18060 (ruby-font-lock-keyword-beg-re): Extract from
18061 `ruby-font-lock-keywords'.
18063 2013-07-16 Jan Djärv <jan.h.d@swipnet.se>
18065 * frame.el (blink-cursor-blinks): New defcustom.
18066 (blink-cursor-blinks-done): New defvar.
18067 (blink-cursor-start): Set blink-cursor-blinks-done to 1.
18068 (blink-cursor-timer-function): Check if number of blinks has been
18070 (blink-cursor-suspend, blink-cursor-check): New defuns.
18072 2013-07-15 Glenn Morris <rgm@gnu.org>
18074 * edmacro.el (edmacro-format-keys): Fix previous change.
18076 2013-07-15 Paul Eggert <eggert@cs.ucla.edu>
18078 * shell.el (explicit-bash-args): Remove obsolete hack for Bash 1.x.
18079 The hack didn't work outside English locales anyway.
18081 2013-07-15 Juanma Barranquero <lekktu@gmail.com>
18083 * simple.el (define-alternatives): Rename from alternatives-define,
18084 per RMS' suggestion.
18086 2013-07-14 Juanma Barranquero <lekktu@gmail.com>
18088 * desktop.el (desktop-restore-frames): Change default to t.
18089 (desktop-restore-in-current-display): Now offer more options.
18090 (desktop-restoring-reuses-frames): New customization option.
18091 (desktop--saved-states): Doc fix.
18092 (desktop-filter-parameters-alist): New variable, renamed and expanded
18093 from desktop--excluded-frame-parameters.
18094 (desktop--target-display): New variable.
18095 (desktop-switch-to-gui-p, desktop-switch-to-tty-p)
18096 (desktop--filter-tty*, desktop--filter-*-color)
18097 (desktop--filter-minibuffer, desktop--filter-restore-desktop-parm)
18098 (desktop--filter-save-desktop-parm)
18099 (desktop-restore-in-original-display-p): New functions.
18100 (desktop--filter-frame-parms): Use new desktop-filter-parameters-alist.
18101 (desktop--save-minibuffer-frames): New function, inspired by a similar
18102 function from Martin Rudalics.
18103 (desktop--save-frames): Call it; play nice with desktop-globals-to-save.
18104 (desktop--restore-in-this-display-p): Remove.
18105 (desktop--find-frame): Rename from desktop--find-frame-in-display
18106 and add predicate argument.
18107 (desktop--make-full-frame): Remove, integrated into desktop--make-frame.
18108 (desktop--reuse-list): New variable.
18109 (desktop--select-frame, desktop--make-frame, desktop--sort-states):
18111 (desktop--restore-frames): Add support for "minibuffer-special" frames.
18113 2013-07-14 Michael Albinus <michael.albinus@gmx.de>
18115 * net/tramp-sh.el (tramp-sh-handle-vc-registered): Use `ignore-error'.
18117 2013-07-13 Dmitry Gutov <dgutov@yandex.ru>
18119 * progmodes/ruby-mode.el (ruby-font-lock-keywords):
18120 Highlight conversion methods on Kernel.
18122 2013-07-13 Alan Mackenzie <acm@muc.de>
18124 * progmodes/cc-engine.el (c-forward-decl-or-cast-1): Label CASE 13
18125 and comment it out. This out-commenting enables certain C++
18126 declarations to be parsed correctly.
18128 2013-07-13 Eli Zaretskii <eliz@gnu.org>
18130 * international/mule.el (define-coding-system): Doc fix.
18132 * simple.el (default-font-height): Don't call font-info if the
18133 frame's default font didn't change since the frame was created.
18136 2013-07-13 Leo Liu <sdl.web@gmail.com>
18138 * ido.el (ido-read-file-name): Guard against non-symbol value.
18140 2013-07-13 Fabián Ezequiel Gallina <fgallina@gnu.org>
18142 * progmodes/python.el (python-imenu--build-tree): Fix corner case
18145 2013-07-13 Leo Liu <sdl.web@gmail.com>
18147 * ido.el (ido-exhibit): Handle ido-enter-matching-directory before
18148 ido-set-matches call. (Bug#6852)
18150 2013-07-12 Dmitry Gutov <dgutov@yandex.ru>
18152 * progmodes/ruby-mode.el (ruby-percent-literals-beg-re)
18153 (ruby-syntax-expansion-allowed-p): Support array of symbols, for
18155 (ruby-font-lock-keywords): Distinguish calls to functions with
18156 module-like names from module references. Highlight character
18159 2013-07-12 Sergio Durigan Junior <sergiodj@riseup.net> (tiny change)
18161 * progmodes/gdb-mi.el (gdb-strip-string-backslash): New function.
18162 (gdb-send): Handle continued commands. (Bug#14847)
18164 2013-07-12 Juanma Barranquero <lekktu@gmail.com>
18166 * desktop.el (desktop--v2s): Remove unused local variable.
18167 (desktop-save-buffer): Make defvar-local; adjust docstring.
18168 (desktop-auto-save-timeout, desktop-owner): Use ignore-errors.
18169 (desktop-clear, desktop-save-buffer-p): Use string-match-p.
18171 2013-07-12 Andreas Schwab <schwab@linux-m68k.org>
18173 * emacs-lisp/map-ynp.el (map-y-or-n-p): Fix last change.
18175 2013-07-12 Eli Zaretskii <eliz@gnu.org>
18177 * simple.el (next-line, previous-line): Document TRY-VSCROLL and ARG.
18180 2013-07-12 Glenn Morris <rgm@gnu.org>
18182 * doc-view.el: Require cl-lib at runtime too.
18183 (doc-view-remove-if): Remove.
18184 (doc-view-search-next-match, doc-view-search-previous-match):
18187 * edmacro.el: Require cl-lib at runtime too.
18188 (edmacro-format-keys, edmacro-parse-keys): Use cl-mismatch, cl-subseq.
18189 (edmacro-mismatch, edmacro-subseq): Remove.
18191 * shadowfile.el: Require cl-lib.
18192 (shadow-remove-if): Remove.
18193 (shadow-set-cluster, shadow-shadows-of-1, shadow-remove-from-todo):
18196 * wid-edit.el: Require cl-lib.
18197 (widget-choose): Use cl-remove-if.
18198 (widget-remove-if): Remove.
18200 * progmodes/ebrowse.el: Require cl-lib at runtime too.
18201 (ebrowse-delete-if-not): Remove.
18202 (ebrowse-browser-buffer-list, ebrowse-member-buffer-list)
18203 (ebrowse-tree-buffer-list, ebrowse-same-tree-member-buffer-list):
18204 Use cl-delete-if-not.
18206 2013-07-12 Juanma Barranquero <lekktu@gmail.com>
18208 * emacs-lisp/cl-macs.el (cl-multiple-value-bind, cl-multiple-value-setq)
18209 (cl-the, cl-declare, cl-defstruct): Fix typos in docstrings.
18211 2013-07-12 Leo Liu <sdl.web@gmail.com>
18213 * ido.el (dired-do-copy, dired): Set 'ido property. (Bug#11954)
18215 2013-07-11 Glenn Morris <rgm@gnu.org>
18217 * emacs-lisp/edebug.el: Require cl-lib at run-time too.
18218 (edebug-gensym-index, edebug-gensym):
18219 Remove reimplementation of cl-gensym.
18220 (edebug-make-enter-wrapper, edebug-make-form-wrapper): Use cl-gensym.
18222 * thumbs.el: Require cl-lib at run-time too.
18223 (thumbs-gensym-counter, thumbs-gensym):
18224 Remove reimplementation of cl-gensym.
18225 (thumbs-temp-file): Use cl-gensym.
18227 * emacs-lisp/ert.el: Require cl-lib at runtime too.
18228 (ert--cl-do-remf, ert--remprop, ert--remove-if-not)
18229 (ert--intersection, ert--set-difference, ert--set-difference-eq)
18230 (ert--union, ert--gensym-counter, ert--gensym-counter)
18231 (ert--coerce-to-vector, ert--remove*, ert--string-position)
18232 (ert--mismatch, ert--subseq): Remove reimplementations of cl funcs.
18233 (ert-make-test-unbound, ert--expand-should-1)
18234 (ert--expand-should, ert--should-error-handle-error)
18235 (should-error, ert--explain-equal-rec)
18236 (ert--plist-difference-explanation, ert-select-tests)
18237 (ert--make-stats, ert--remove-from-list, ert--string-first-line):
18238 Use cl-lib functions rather than reimplementations.
18240 2013-07-11 Michael Albinus <michael.albinus@gmx.de>
18242 * net/tramp.el (tramp-methods): Extend docstring.
18243 (tramp-connection-timeout): New defcustom.
18244 (tramp-error-with-buffer): Reset timestamp only when appropriate.
18245 (with-tramp-progress-reporter): Simplify.
18246 (tramp-process-actions): Improve messages.
18248 * net/tramp-gvfs.el (tramp-gvfs-maybe-open-connection):
18249 * net/tramp-sh.el (tramp-maybe-open-connection):
18250 Use `tramp-connection-timeout'.
18251 (tramp-methods) <su, sudo, ksu>: Add method specific timeouts.
18254 2013-07-11 Leo Liu <sdl.web@gmail.com>
18256 * ido.el (ido-read-file-name): Conform to the requirements of
18257 read-file-name. (Bug#11861)
18258 (ido-read-directory-name): Conform to the requirements of
18259 read-directory-name.
18261 2013-07-11 Juanma Barranquero <lekktu@gmail.com>
18263 * subr.el (delay-warning): New function.
18265 2013-07-10 Eli Zaretskii <eliz@gnu.org>
18267 * simple.el (default-line-height): New function.
18268 (line-move-partial, line-move): Use it instead of computing the
18269 line height inline.
18270 (line-move-partial): Always compute ROWH. If the last line is
18271 partially-visible, but its text is completely visible, allow
18272 cursor to enter such a partially-visible line.
18274 2013-07-10 Michael Albinus <michael.albinus@gmx.de>
18276 Improve error messages. (Bug#14808)
18278 * net/tramp.el (tramp-current-connection): New defvar, moved from
18280 (tramp-message-show-progress-reporter-message): Remove, not
18282 (tramp-error-with-buffer): Show message in minibuffer.
18283 Discard input before waiting. Reset connection timestamp.
18284 (with-tramp-progress-reporter): Improve messages.
18285 (tramp-process-actions): Use progress reporter. Delete process in
18286 case of error. Improve messages.
18288 * net/tramp-sh.el (tramp-barf-if-no-shell-prompt): Use condition-case.
18289 Call `tramp-error-with-buffer' with vector and buffer.
18290 (tramp-current-connection): Remove.
18291 (tramp-maybe-open-connection): The car of
18292 `tramp-current-connection' are the first 3 slots of the vector.
18294 2013-07-10 Teodor Zlatanov <tzz@lifelogs.com>
18296 * progmodes/cfengine.el (cfengine3-indent-line): Do not indent
18297 inside continued strings.
18299 2013-07-10 Paul Eggert <eggert@cs.ucla.edu>
18301 Timestamp fixes for undo (Bug#14824).
18302 * files.el (clear-visited-file-modtime): Move here from fileio.c.
18304 2013-07-10 Leo Liu <sdl.web@gmail.com>
18306 * files.el (require-final-newline): Allow safe local value.
18309 2013-07-09 Leo Liu <sdl.web@gmail.com>
18311 * ido.el (ido-read-directory-name): Handle fallback.
18312 (ido-read-file-name): Update DIR to ido-current-directory.
18314 (ido-add-virtual-buffers-to-list): Robustify. (Bug#14552)
18316 2013-07-09 Dmitry Gutov <dgutov@yandex.ru>
18318 * progmodes/ruby-mode.el (ruby-font-lock-keywords): Remove extra
18319 "autoload". Remove "warn lower camel case" section, previously
18320 commented out. Highlight negation char. Do not highlight the
18321 target in singleton method definitions.
18323 2013-07-08 Stefan Monnier <monnier@iro.umontreal.ca>
18325 * faces.el (tty-setup-hook): Declare the hook.
18327 * emacs-lisp/pcase.el (pcase--split-pred): Add `vars' argument to try
18328 and detect when a guard/pred depends on local vars (bug#14773).
18329 (pcase--u1): Adjust caller.
18331 2013-07-08 Eli Zaretskii <eliz@gnu.org>
18333 * simple.el (line-move-partial, line-move): Account for
18335 (line-move-partial): Avoid setting vscroll when the last
18336 partially-visible line in window is of default height.
18338 2013-07-08 Lars Magne Ingebrigtsen <larsi@gnus.org>
18340 * net/shr.el (shr-map): Reinstate the `u' key binding, since it's
18343 2013-07-07 Juanma Barranquero <lekktu@gmail.com>
18345 * subr.el (read-quoted-char): Remove unused local variable `char'.
18347 2013-07-07 Michael Kifer <kifer@cs.stonybrook.edu>
18349 * vc/ediff.el (ediff-version): Version update.
18350 (ediff-files-command, ediff3-files-command, ediff-merge-command)
18351 (ediff-merge-with-ancestor-command, ediff-directories-command)
18352 (ediff-directories3-command, ediff-merge-directories-command)
18353 (ediff-merge-directories-with-ancestor-command): New functions.
18354 All are command-line interfaces to ediff: to facilitate calling
18355 Emacs with the appropriate ediff functions invoked.
18357 * emulation/viper-cmd.el (viper-del-forward-char-in-insert):
18359 (viper-save-kill-buffer): Check if buffer is modified.
18361 * emulation/viper.el (viper-version): Version update.
18362 (viper-emacs-state-mode-list): Add egg-status-buffer-mode.
18364 2013-07-07 Stefan Monnier <monnier@iro.umontreal.ca>
18366 * faces.el (tty-run-terminal-initialization): Run new tty-setup-hook.
18367 * emulation/viper-cmd.el (viper-envelop-ESC-key): Remove function.
18368 (viper-intercept-ESC-key): Simplify.
18369 * emulation/viper-keym.el (viper-ESC-key): Make it a constant,
18371 * emulation/viper.el (viper--tty-ESC-filter, viper--lookup-key)
18372 (viper-catch-tty-ESC, viper-uncatch-tty-ESC)
18373 (viper-setup-ESC-to-escape): New functions.
18374 (viper-go-away, viper-set-hooks): Call viper-setup-ESC-to-escape.
18375 (viper-set-hooks): Do not modify flyspell-mode-hook. (Bug#13793)
18377 2013-07-07 Eli Zaretskii <eliz@gnu.org>
18379 * simple.el (default-font-height, window-screen-lines):
18381 (line-move, line-move-partial): Use them instead of
18382 frame-char-height and window-text-height. This makes scrolling
18383 text smoother when the buffer's default face uses a font that is
18384 different from the frame's default font.
18386 2013-07-06 Jan Djärv <jan.h.d@swipnet.se>
18388 * files.el (write-file): Do not display confirm dialog for NS,
18389 it does its own dialog, which can't be canceled (Bug#14578).
18391 2013-07-06 Eli Zaretskii <eliz@gnu.org>
18393 * simple.el (line-move-partial): Adjust the row returned by
18394 posn-at-point for the current window-vscroll. (Bug#14567)
18396 2013-07-06 Michael Albinus <michael.albinus@gmx.de>
18398 * net/tramp-sh.el (tramp-sh-file-gvfs-monitor-dir-process-filter)
18399 (tramp-sh-file-inotifywait-process-filter): Handle file names with
18402 2013-07-06 Martin Rudalics <rudalics@gmx.at>
18404 * window.el (window-state-put-stale-windows): New variable.
18405 (window--state-put-2): Save list of windows without matching buffer.
18406 (window-state-put): Remove "bufferless" windows if possible.
18408 2013-07-06 Juanma Barranquero <lekktu@gmail.com>
18410 * simple.el (alternatives-define): Remove leftover :group keyword.
18413 2013-07-06 Leo Liu <sdl.web@gmail.com>
18415 * ido.el (ido-use-virtual-buffers): Allow new value 'auto.
18416 (ido-enable-virtual-buffers): New variable.
18417 (ido-buffer-internal, ido-toggle-virtual-buffers)
18418 (ido-make-buffer-list): Use it.
18419 (ido-exhibit): Support turning on and off virtual buffers
18422 2013-07-06 Juanma Barranquero <lekktu@gmail.com>
18424 * simple.el (alternatives-define): New macro.
18426 2013-07-06 Stefan Monnier <monnier@iro.umontreal.ca>
18428 * subr.el (read-quoted-char): Use read-key.
18429 (sit-for): Let read-event decode tty input (bug#14782).
18431 2013-07-05 Stephen Berman <stephen.berman@gmx.net>
18433 * calendar/todo-mode.el: Add handling of file deletion, both by
18434 mode command and externally. Fix various related bugs.
18435 Clarify Commentary and improve some documentation strings and code.
18436 (todo-delete-file): New command.
18437 (todo-check-file): New function.
18438 (todo-show): Handle external deletion of the file we're trying to
18439 show (bug#14688). Replace called-interactively-p by an optional
18440 prefix argument to avoid problematic interaction with catch form
18441 when byte compiled (bug#14702).
18442 (todo-quit): Handle external deletion of the archive's todo file.
18443 Make sure the buffer that was visiting the archive file is still
18444 live before trying to bury it.
18445 (todo-category-completions): Handle external deletion of any
18446 category completion files.
18447 (todo-jump-to-category, todo-basic-insert-item): Recalculate list
18448 of todo files, in case of external deletion.
18449 (todo-add-file): Replace unnecessary setq by let-binding.
18450 (todo-find-archive): Check whether there are any archives.
18451 Replace unnecessary setq by let-binding.
18452 (todo-archive-done-item): Use find-file-noselect to get the
18453 archive buffer whether or not the archive already exists.
18454 Remove superfluous code. Use file size instead of buffer-file-name to
18455 check if the archive is new; if it is, update list of archives.
18456 (todo-default-todo-file): Allow nil to be a valid value for when
18457 there are no todo files.
18458 (todo-reevaluate-default-file-defcustom): Use corrected definition
18459 of todo-default-todo-file.
18460 (todo-key-bindings-t+a+f): Add key binding for todo-delete-file.
18461 (todo-delete-category, todo-show-categories-table)
18462 (todo-category-number): Clarify comment.
18463 (todo-filter-items): Clarify documentation string.
18464 (todo-show-current-file, todo-display-as-todo-file)
18465 (todo-reset-and-enable-done-separator): Tweak documentation string.
18466 (todo-done-separator): Make separator length window-width, since
18467 bug#2749 is now fixed.
18469 2013-07-05 Michael Albinus <michael.albinus@gmx.de>
18471 * net/tramp-sh.el (tramp-sh-handle-file-notify-add-watch):
18472 Support both "gvfs-monitor-dir" and "inotifywait".
18473 (tramp-sh-file-inotifywait-process-filter): Rename from
18474 `tramp-sh-file-notify-process-filter'.
18475 (tramp-sh-file-gvfs-monitor-dir-process-filter)
18476 (tramp-get-remote-gvfs-monitor-dir): New defuns.
18478 2013-07-05 Leo Liu <sdl.web@gmail.com>
18480 * autoinsert.el (auto-insert-alist): Default to lexical-binding.
18482 2013-07-04 YAMAMOTO Mitsuharu <mituharu@math.s.chiba-u.ac.jp>
18484 * frame.el (display-pixel-height, display-pixel-width)
18485 (display-mm-height, display-mm-width): Mention behavior on
18486 multi-monitor setups in docstrings.
18487 (w32-display-monitor-attributes-list): Declare function.
18488 (display-monitor-attributes-list): Use it.
18490 2013-07-04 Michael Albinus <michael.albinus@gmx.de>
18492 * filenotify.el: New package.
18494 * autorevert.el (top): Require filenotify.el.
18495 (auto-revert-notify-enabled): Remove. Use `file-notify-support'
18497 (auto-revert-notify-rm-watch, auto-revert-notify-add-watch)
18498 (auto-revert-notify-handler): Use `file-notify-*' functions.
18500 * subr.el (file-notify-handle-event): Move function to filenotify.el.
18502 * net/tramp.el (tramp-file-name-for-operation):
18503 Handle `file-notify-add-watch' and `file-notify-rm-watch'.
18505 * net/tramp-sh.el (tramp-sh-file-name-handler-alist): Add handler
18506 for `file-notify-add-watch' and `file-notify-rm-watch'.
18507 (tramp-process-sentinel): Improve trace.
18508 (tramp-sh-handle-file-notify-add-watch)
18509 (tramp-sh-file-notify-process-filter)
18510 (tramp-sh-handle-file-notify-rm-watch)
18511 (tramp-get-remote-inotifywait): New defuns.
18513 2013-07-03 Juri Linkov <juri@jurta.org>
18515 * buff-menu.el (Buffer-menu-multi-occur): Add args and move the
18516 call of `occur-read-primary-args' to interactive spec.
18518 * ibuffer.el (ibuffer-mode-map): Bind "M-s a C-o" to
18519 `ibuffer-do-occur' like in buff-menu.el. (Bug#14673)
18521 2013-07-03 Matthias Meulien <orontee@gmail.com>
18523 * buff-menu.el (Buffer-menu-mode-map): Bind "M-s a C-o" to
18524 `Buffer-menu-multi-occur'. Add it to the menu.
18525 (Buffer-menu-mode): Document it in docstring.
18526 (Buffer-menu-multi-occur): New command. (Bug#14673)
18528 2013-07-03 Dmitry Gutov <dgutov@yandex.ru>
18530 * progmodes/ruby-mode.el (ruby-font-lock-keywords): Highlight more
18531 keywords and built-ins.
18533 2013-07-03 Glenn Morris <rgm@gnu.org>
18535 * subr.el (y-or-n-p): Handle empty prompts. (Bug#14770)
18537 Make info-xref checks case-sensitive by default
18538 * info.el (Info-find-node, Info-find-in-tag-table)
18539 (Info-find-node-in-buffer, Info-find-node-2, Info-goto-node):
18540 Add option for exact case matching of nodes.
18541 * info-xref.el (info-xref): New custom group.
18542 (info-xref-case-fold): New option.
18543 (info-xref-goto-node-p): Pass info-xref-case-fold to Info-goto-node.
18545 2013-07-03 Leo Liu <sdl.web@gmail.com>
18547 * ido.el (ido-delete-file-at-head): Respect delete-by-moving-to-trash.
18549 2013-07-03 Dmitry Gutov <dgutov@yandex.ru>
18551 * progmodes/ruby-mode.el (ruby-move-to-block): When we're at a
18552 middle of block statement initially, lower the depth. Remove
18553 FIXME comment, not longer valid. Remove middle of block statement
18554 detection, no need to do that anymore since we've been using
18555 `ruby-parse-region' here.
18557 2013-07-02 Jan Djärv <jan.h.d@swipnet.se>
18559 * term/ns-win.el (display-format-alist): Use .* (Bug#14765).
18561 2013-07-01 Katsumi Yamaoka <yamaoka@jpl.org>
18563 * wid-edit.el (widget-default-get): Don't modify widget (Bug#14738).
18565 2013-07-01 Juanma Barranquero <lekktu@gmail.com>
18567 * desktop.el (desktop-restore-frames): Rename from desktop-save-windows.
18568 (desktop-restore-in-current-display): New customization option.
18569 (desktop--excluded-frame-parameters): Add `font'.
18570 (desktop--save-frames): Rename from desktop--save-windows.
18571 (desktop--restore-in-this-display-p): New function.
18572 (desktop--make-full-frame): Remove unwanted width/height from
18573 full(width|height) frames.
18574 (desktop--restore-frames): Rename from desktop--restore-windows.
18575 Obey desktop-restore-current-display. Do not delete old frames or
18576 select a new frame unless we were able to restore at least one frame.
18578 2013-06-30 Michal Nazarewicz <mina86@mina86.com>
18580 * files.el (find-file-noselect): Simplify conditional expression.
18582 * textmodes/remember.el (remember-append-to-file):
18583 Don't mix `find-buffer-visiting' and `get-file-buffer'.
18585 Add `remember-notes' function to store random notes across Emacs
18587 * textmodes/remember.el (remember-data-file): Add :set callback to
18588 affect notes buffer (if any).
18589 (remember-notes): New command.
18590 (remember-notes-buffer-name, bury-remember-notes-on-kill):
18591 New defcustoms for the `remember-notes' function.
18592 (remember-notes-save-and-bury-buffer): New command.
18593 (remember-notes-mode-map): New variable.
18594 (remember-mode): New minor mode.
18595 (remember-notes--kill-buffer-query): New function.
18596 * startup.el (initial-buffer-choice): Add notes to custom type.
18598 2013-06-30 Eli Zaretskii <eliz@gnu.org>
18600 * bindings.el (right-char, left-char): Don't call sit-for, this is
18601 no longer needed. Use arithmetic comparison only for numerical
18604 * international/mule-cmds.el (select-safe-coding-system):
18605 Handle the case of FROM being a string correctly. (Bug#14755)
18607 2013-06-30 Lars Magne Ingebrigtsen <larsi@gnus.org>
18609 * net/shr.el (shr-make-table-1): Add a sanity check that allows
18610 progression on degenerate tables.
18611 (shr-rescale-image): ImageMagick animated images currently don't work.
18613 2013-06-30 Juanma Barranquero <lekktu@gmail.com>
18615 Some fixes and improvements for desktop frame restoration.
18616 It is still experimental and disabled by default.
18617 * desktop.el (desktop--save-windows): Put the selected frame at
18618 the head of the list.
18619 (desktop--make-full-frame): New function.
18620 (desktop--restore-windows): Try to re-select the frame that was
18621 selected upon saving. Do not abort if some frames fail to restore,
18622 just show an error message and continue. Set up maximized frames
18623 so they have default non-maximized dimensions.
18625 2013-06-30 Dmitry Gutov <dgutov@yandex.ru>
18627 * progmodes/ruby-mode.el (ruby-syntax-propertize-function):
18628 Don't start heredoc inside a string or comment.
18630 2013-06-29 Eli Zaretskii <eliz@gnu.org>
18632 * bindings.el (visual-order-cursor-movement): New defcustom.
18633 (right-char, left-char): Provide visual-order cursor motion by
18634 calling move-point-visually. Update the doc strings.
18636 2013-06-28 Kenichi Handa <handa@gnu.org>
18638 * international/mule.el (define-coding-system): New coding system
18639 properties :inhibit-null-byte-detection,
18640 :inhibit-iso-escape-detection, and :prefer-utf-8.
18641 (set-buffer-file-coding-system): If :charset-list property of
18642 CODING-SYSTEM is `emacs', do not check if CODING-SYSTEM is
18643 appropriate for setting.
18645 * international/mule-cmds.el (select-safe-coding-system):
18646 If DEFAULT-CODING-SYSTEM is prefer-utf-8 and the buffer contains
18647 multibyte characters, return utf-8 (or one of its siblings).
18649 * international/mule-conf.el (prefer-utf-8): New coding system.
18650 (file-coding-system-alist): Use prefer-utf-8 as default for Elisp
18653 2013-06-28 Ivan Kanis <ivan@kanis.fr>
18655 * net/shr.el (shr-render-region): New function.
18657 * net/eww.el: Autoload `eww-browse-url'.
18659 2013-06-27 Dmitry Gutov <dgutov@yandex.ru>
18661 * emacs-lisp/package-x.el (package-upload-buffer-internal):
18662 Adapt to `package-desc-version' being a list.
18663 Use `package--ac-desc-version' to retrieve version from a package
18666 2013-06-27 Juanma Barranquero <lekktu@gmail.com>
18668 New experimental feature to save&restore window and frame setup.
18669 * desktop.el (desktop-save-windows): New defcustom.
18670 (desktop--saved-states): New var.
18671 (desktop--excluded-frame-parameters): New defconst.
18672 (desktop--filter-frame-parms, desktop--find-frame-in-display)
18673 (desktop--restore-windows, desktop--save-windows): New functions.
18674 (desktop-save): Call `desktop--save-windows'.
18675 (desktop-read): Call `desktop--restore-windows'.
18677 2013-06-27 Lars Magne Ingebrigtsen <larsi@gnus.org>
18679 * net/shr.el (add-face-text-property): Remove compat definition.
18681 2013-06-27 Stephen Berman <stephen.berman@gmx.net>
18683 * info.el (Info-try-follow-nearest-node): Move search for footnote
18684 above search for node name to prevent missing a footnote (bug#14717).
18686 2013-06-27 Stephen Berman <stephen.berman@gmx.net>
18688 * obsolete/otodo-mode.el: Add obsolescence info to file header.
18690 2013-06-27 Leo Liu <sdl.web@gmail.com>
18692 * net/eww.el (eww-read-bookmarks): Check file size.
18694 2013-06-26 Stefan Monnier <monnier@iro.umontreal.ca>
18696 * emacs-lisp/nadvice.el (advice--defalias-fset): Move advice back to
18697 advice--pending if newdef is nil or an autoload (bug#13820).
18698 (advice-mapc): New function.
18700 2013-06-26 Lars Magne Ingebrigtsen <larsi@gnus.org>
18702 * net/eww.el (eww-mode): Undo isn't necessary in eww buffers,
18704 (eww-mode-map): Add a menu bar.
18705 (eww-add-bookmark): New command.
18706 (eww-bookmark-mode): New mode and commands.
18707 (eww-add-bookmark): Remove newlines from the title.
18708 (eww-bookmark-browse): Don't bug out if it's the only window.
18710 2013-06-26 Glenn Morris <rgm@gnu.org>
18712 * htmlfontify.el (hfy-triplet): Handle unspecified-fg, bg.
18713 (hfy-size): Handle ttys. (Bug#14668)
18715 * info-xref.el: Update for Texinfo 5 change in *note format.
18716 (info-xref-node-re, info-xref-note-re): New constants.
18717 (info-xref-check-buffer): Use info-xref-note-re.
18719 2013-06-26 Stefan Monnier <monnier@iro.umontreal.ca>
18721 * simple.el (set-variable): Use read-from-minibuffer (bug#14710).
18723 * emacs-lisp/package.el (package--add-to-archive-contents): Add missing
18724 nil terminate the loop (bug#14718).
18726 2013-06-25 Lars Magne Ingebrigtsen <larsi@gnus.org>
18728 * net/eww.el: Rework history traversal. When going forward/back,
18729 put these actions into the history, too, so that they can be
18731 (eww-render): Move the history reset to the correct buffer.
18733 2013-06-25 Juri Linkov <juri@jurta.org>
18735 * files-x.el (modify-dir-local-variable): Change the header comment
18736 in the file with directory local variables. (Bug#14692)
18738 * files-x.el (read-file-local-variable-value): Add `default'.
18741 2013-06-25 Lars Magne Ingebrigtsen <larsi@gnus.org>
18743 * net/eww.el (eww-make-unique-file-name): Create a unique file
18744 name before saving to entering `y' accidentally asynchronously.
18746 2013-06-25 Ivan Kanis <ivan@kanis.fr>
18748 * net/eww.el (eww-download): New command and keystroke.
18750 2013-06-25 Lars Magne Ingebrigtsen <larsi@gnus.org>
18752 * net/eww.el (eww-copy-page-url): Change name of command.
18754 * net/shr.el (shr-map): Change `shr-copy-url' from `u' to `w' to
18755 be more consistent with Info and dired.
18757 * net/eww.el (eww-mode-map): Ditto.
18759 2013-06-25 Stefan Monnier <monnier@iro.umontreal.ca>
18761 * emacs-lisp/package.el: Use lexical-binding. Include obsolete
18762 packages from archives.
18763 (package-archive-contents): Change format; include obsolete packages.
18764 (package-desc): Use `dir' to mark builtin packages.
18765 (package--from-builtin): Set the `dir' field to `builtin'.
18766 (generated-autoload-file, version-control): Declare.
18767 (package-compute-transaction): Change first arg and return value to be
18768 lists of package-descs. Adjust to new package-archive-contents format.
18769 (package--add-to-archive-contents): Adjust to new
18770 package-archive-contents format.
18771 (package-download-transaction): Arg is now a list of package-descs.
18772 (package-install): If `pkg' is a package name, pass it as
18773 a requirement, so it is subject to the usual (e.g. disabled) checks.
18774 (describe-package): Accept package-desc as well.
18775 (describe-package-1): Describe a specific package-desc. Add links to
18776 other package-descs for the same package name.
18777 (package-menu-describe-package): Pass the actual package-desc.
18778 (package-menu-mode): Add to tabulated-list-revert-hook so revert-buffer
18780 (package-desc-status): New function.
18781 (package-menu--refresh): New function, extracted
18782 from package-menu--generate.
18783 (package-menu--generate): Use it.
18784 (package-delete): Update package-alist.
18785 (package-menu-execute): Don't call package-initialize.
18787 * progmodes/idlw-toolbar.el, progmodes/idlw-shell.el,
18788 progmodes/idlw-help.el, progmodes/idlw-complete-structtag.el,
18789 progmodes/ebnf-yac.el, progmodes/ebnf-otz.el, progmodes/ebnf-iso.el,
18790 progmodes/ebnf-ebx.el, progmodes/ebnf-dtd.el, progmodes/ebnf-bnf.el,
18791 progmodes/ebnf-abn.el, emacs-lisp/package-x.el, emacs-lisp/cl-seq.el,
18792 emacs-lisp/cl-macs.el: Neuter the "Version:" header.
18794 2013-06-25 Martin Rudalics <rudalics@gmx.at>
18796 * window.el (window--state-get-1): Workaround for bug#14527.
18797 http://lists.gnu.org/archive/html/emacs-devel/2013-06/msg00941.html
18799 2013-06-25 Lars Magne Ingebrigtsen <larsi@gnus.org>
18801 * net/eww.el (eww-back-url): Implement the history by stashing all
18802 the data into a list.
18803 (eww-forward-url): Allow going forward in the history, too.
18805 2013-06-25 Stefan Monnier <monnier@iro.umontreal.ca>
18807 * files-x.el (read-file-local-variable-value): Use read-from-minibuffer
18808 for values and use read--expression for expressions (bug#14710).
18809 (read-file-local-variable): Avoid setq.
18810 (read-file-local-variable-mode): Use minor-mode-list.
18812 2013-06-25 Rüdiger Sonderfeld <ruediger@c-plusplus.de>
18814 * textmodes/bibtex.el (bibtex-generate-url-list): Add support
18817 2013-06-25 Rüdiger Sonderfeld <ruediger@c-plusplus.de>
18819 * textmodes/bibtex.el (bibtex-mode, bibtex-set-dialect):
18820 Update imenu-support when dialect changes.
18822 2013-06-25 Leo Liu <sdl.web@gmail.com>
18824 * ido.el (ido-read-internal): Allow forward slash on windows.
18826 2013-06-24 Lars Magne Ingebrigtsen <larsi@gnus.org>
18828 * net/eww.el (eww): Start of strings is \\`, not ^.
18830 2013-06-24 Ivan Kanis <ivan@kanis.fr>
18832 * net/shr.el (shr-browse-url): Fix interactive spec.
18834 * net/eww.el (eww): Add a trailing slash to domain names.
18836 2013-06-24 Juanma Barranquero <lekktu@gmail.com>
18838 * faces.el (face-spec-recalc): Revert part of 2013-06-23T20:29:18Z!lekktu@gmail.com (bug#14705).
18840 2013-06-24 Lars Magne Ingebrigtsen <larsi@gnus.org>
18842 * net/shr.el (shr-browse-url): Use an external browser if given a
18845 * net/eww.el (eww-external-browser): Move to shr.
18847 2013-06-24 Ivan Kanis <ivan@kanis.fr>
18849 * net/eww.el (eww): Work more correctly for file: URLs.
18850 (eww-detect-charset): Allow quoted charsets.
18851 (eww-yank-page-url): New command and keystroke.
18853 2013-06-24 Daiki Ueno <ueno@gnu.org>
18855 * epg.el (epg-make-context): Check if PROTOCOL is valid; embed the
18856 file name of gpg executable.
18857 (epg-context-program): New function.
18858 (epg-context-home-directory): New function.
18859 (epg-context-set-program): New function.
18860 (epg-context-set-home-directory): New function.
18861 (epg--start): Use `epg-context-program' instead of
18863 (epg--list-keys-1): Likewise.
18865 2013-06-24 Leo Liu <sdl.web@gmail.com>
18867 * ido.el (ido-read-internal): Fix bug#14620.
18869 2013-06-23 Juanma Barranquero <lekktu@gmail.com>
18871 * faces.el (face-documentation): Simplify.
18872 (read-face-attribute, tty-find-type, x-resolve-font-name):
18873 Use `string-match-p'.
18874 (list-faces-display): Use `string-match-p'. Simplify.
18875 (face-spec-recalc): Check face to avoid face alias loops.
18876 (read-color): Use `string-match-p' and non-capturing parenthesis.
18878 2013-06-23 Lars Magne Ingebrigtsen <larsi@gnus.org>
18880 * net/shr.el (shr-rescale-image): Use the new
18881 :max-width/:max-height functionality.
18883 2013-06-23 Ivan Kanis <ivan@kanis.fr>
18885 * net/eww.el (eww-search-prefix): New variable.
18887 (eww-external-browser): New variable.
18888 (eww-mode-map): New keystroke.
18889 (eww-browse-with-external-browser): New command.
18891 * net/eww.el: Bind `C-c C-c' to "submit" in all form keymaps.
18893 2013-06-23 Juanma Barranquero <lekktu@gmail.com>
18895 * emacs-lisp/tabulated-list.el (tabulated-list-init-header):
18896 Don't skip aligning the next header field when padding is 0;
18897 otherwise, field width is not respected unless the title is as
18900 2013-06-22 Stefan Monnier <monnier@iro.umontreal.ca>
18902 * emacs-lisp/package.el (package-el-version): Remove.
18903 (package-process-define-package): Fix inf-loop.
18904 (package-install): Allow symbols as arguments again.
18906 2013-06-22 Dmitry Gutov <dgutov@yandex.ru>
18908 * progmodes/ruby-mode.el (ruby-font-lock-keywords): Move `catch',
18909 add some more keyword-like methods.
18910 http://lists.gnu.org/archive/html/emacs-devel/2013-06/msg00911.html
18912 2013-06-22 Juanma Barranquero <lekktu@gmail.com>
18914 * bs.el (bs-buffer-show-mark): Make defvar-local.
18915 (bs-mode): Use setq-local.
18917 * emacs-lock.el (emacs-lock-mode, emacs-lock--old-mode)
18918 (emacs-lock--try-unlocking): Make defvar-local.
18920 2013-06-22 Glenn Morris <rgm@gnu.org>
18922 * play/cookie1.el (cookie-apropos): Minor simplification.
18924 * progmodes/gdb-mi.el (gdb-mapcar*): Remove, replace with cl-mapcar.
18926 2013-06-22 Dmitry Gutov <dgutov@yandex.ru>
18928 * progmodes/ruby-mode.el (auto-mode-alist): Do not use
18929 `regexp-opt', it breaks the build during dumping.
18931 2013-06-21 Dmitry Gutov <dgutov@yandex.ru>
18933 * progmodes/ruby-mode.el (ruby-font-lock-keywords):
18934 Highlight keyword-like methods on Kernel and Module with
18935 font-lock-builtin-face.
18936 (auto-mode-alist): Consolidate different entries into one regexp
18937 and add more *file-s.
18939 2013-06-21 Stephen Berman <stephen.berman@gmx.net>
18941 * obsolete/otodo-mode.el: Move and rename from calendar/todo-mode.el.
18943 * calendar/diary-lib.el (diary-goto-entry-function): New variable.
18944 (diary-entry): Use it in the action of this button type instead of
18947 * calendar/todo-mode.el: New version.
18948 (todo-add-category): Append new category to end of file and give
18949 it the highest number, instead of putting it at the beginning and
18950 giving it 0. Incorporate noninteractive functionality.
18951 (todo-forward-category): Adapt to 1-based category numbering.
18952 Allow skipping over archived categories.
18953 (todo-backward-category): Derive from todo-forward-category.
18954 (todo-backward-item, todo-forward-item): Make noninteractive and
18955 delegate interactive part to new commands. Make sensitive to done items.
18956 (todo-categories): Make value an alist of category names and
18957 vectors of item counts.
18958 (todo-category-beg): Make a defconst.
18959 (todo-category-number): Use 1 instead of 0 as initial value.
18960 (todo-category-select): Make sensitive to overlays, optional item
18961 highlighting and done items.
18962 (todo-delete-item): Make sensitive to overlays and marked and done items.
18963 (todo-edit-item): Make sensitive to overlays and editing of
18964 date/time header optional. Add format checks.
18965 (todo-edit-multiline): Rename to todo-edit-multiline-item. Make a
18966 no-op if point is not on an item. Advertise using todo-edit-quit.
18967 (todo-edit-mode): Make sensitive to new format, font-locking, and
18968 multiple todo files.
18969 (todo-insert-item, todo-insert-item-here): Derive from
18970 todo-basic-insert-item and extend functionality.
18971 (todo-item-end, todo-item-start): Make sensitive to done items.
18972 (todo-item-string): Don't return text properties. Restore point.
18973 (todo-jump-to-category): Make sensitive to multiple todo files and
18974 todo archives. Use extended category completion.
18975 (todo-lower-item, todo-raise-item): Rename to *-priority and
18976 derive from todo-set-item-priority.
18977 (todo-mode): Derive from special-mode. Make sensitive to new
18978 format, font-locking and multiple todo files. Make read-only.
18979 (todo-mode-map): Don't suppress digit keys, so they can supply
18980 prefix arguments. Add many new key bindings.
18981 (todo-prefix): Insert as an overlay instead of file text.
18982 Change semantics from diary date expression to purely visual mark.
18983 (todo-print): Rename to todo-print-buffer. Make buffer display
18984 features printable. Remove option to restrict number of items
18985 printed. Add option to print to file.
18986 (todo-print-function): Rename to todo-print-buffer-function.
18987 (todo-quit): Extend to handle exiting new todo modes.
18988 (todo-remove-item): Make sensitive to overlays.
18989 (todo-save): Extend to buffers of filtered items.
18990 (todo-show): Make sensitive to done items, multiple todo files and
18991 new todo modes. Offer to convert legacy todo file before creating
18992 first new todo file.
18993 (todo-show-priorities): Rename to todo-top-priorities.
18994 Change semantics of value 0.
18995 (todo-top-priorities): Rename to todo-filter-top-priorities,
18996 derive from todo-filter-items and extend functionality.
18997 (todo-save-top-priorities): Rename to todo-save-filtered-items-buffer
18998 and extend functionality to other types of filtered items.
18999 (todo-add-item-non-interactively, todo-ask-p, todo-cat-slct)
19000 (todo-category-end, todo-category-sep, todo-cats, todo-cmd-back)
19001 (todo-cmd-done, todo-cmd-edit, todo-cmd-forw, todo-cmd-inst)
19002 (todo-cmd-kill, todo-cmd-lowr, todo-cmd-next, todo-cmd-prev)
19003 (todo-cmd-rais, todo-cmd-save, todo-completing-read, todo-cp)
19004 (todo-edit-mode-hook, todo-entry-prefix-function)
19005 (todo-entry-timestamp-initials, todo-file-do, todo-file-done)
19006 (todo-file-item, todo-file-top, todo-header, todo-initial-setup)
19007 (todo-initials, todo-insert-threshold, todo-item-string-start)
19008 (todo-line-string, todo-menu, todo-mode-hook)
19009 (todo-more-important-p, todo-previous-answer, todo-previous-line)
19010 (todo-print-priorities, todo-remove-separator)
19011 (todo-save-top-priorities-too, todo-string-count-lines)
19012 (todo-string-multiline-p, todo-time-string-format)
19013 (todo-tmp-buffer-name): Remove.
19014 (todo-add-file, todo-archive-done-item, todo-choose-archive)
19015 (todo-convert-legacy-files, todo-copy-item, todo-delete-category)
19016 (todo-edit-category-diary-inclusion)
19017 (todo-edit-category-diary-nonmarking, todo-edit-done-item-comment)
19018 (todo-edit-file, todo-edit-item-date-day)
19019 (todo-edit-item-date-day-name, todo-edit-item-date-from-calendar)
19020 (todo-edit-item-date-month, todo-edit-item-date-to-today)
19021 (todo-edit-item-date-year, todo-edit-item-diary-inclusion)
19022 (todo-edit-item-diary-nonmarking, todo-edit-item-header)
19023 (todo-edit-item-time, todo-edit-quit, todo-filter-diary-items)
19024 (todo-filter-diary-items-multifile, todo-filter-regexp-items)
19025 (todo-filter-regexp-items-multifile, todo-filter-top-priorities)
19026 (todo-filter-top-priorities-multifile, todo-find-archive)
19027 (todo-find-filtered-items-file, todo-go-to-source-item)
19028 (todo-insert-item-from-calendar, todo-item-done, todo-item-undone)
19029 (todo-jump-to-archive-category, todo-lower-category)
19030 (todo-mark-category, todo-marked-item-p, todo-merge-category)
19031 (todo-move-category, todo-move-item, todo-next-button)
19032 (todo-next-item, todo-padded-string, todo-powerset)
19033 (todo-previous-button, todo-previous-item)
19034 (todo-print-buffer-to-file, todo-raise-category)
19035 (todo-rename-category, todo-repair-categories-sexp, todo-search)
19036 (todo-set-category-number, todo-set-item-priority)
19037 (todo-set-top-priorities-in-category)
19038 (todo-set-top-priorities-in-file, todo-show-categories-table)
19039 (todo-sort-categories-alphabetically-or-numerically)
19040 (todo-sort-categories-by-archived, todo-sort-categories-by-diary)
19041 (todo-sort-categories-by-done, todo-sort-categories-by-todo)
19042 (todo-toggle-item-header, todo-toggle-item-highlighting)
19043 (todo-toggle-mark-item, todo-toggle-prefix-numbers)
19044 (todo-toggle-view-done-items, todo-toggle-view-done-only)
19045 (todo-unarchive-items, todo-unmark-category): New commands.
19046 (todo-absolute-file-name, todo-add-to-buffer-list)
19047 (todo-adjusted-category-label-length, todo-basic-edit-item-header)
19048 (todo-basic-insert-item, todo-category-completions)
19049 (todo-category-number, todo-category-string-matcher-1)
19050 (todo-category-string-matcher-2, todo-check-filtered-items-file)
19051 (todo-check-format, todo-clear-matches)
19052 (todo-comment-string-matcher, todo-convert-legacy-date-time)
19053 (todo-current-category, todo-date-string-matcher)
19054 (todo-define-insertion-command, todo-diary-expired-matcher)
19055 (todo-diary-goto-entry, todo-diary-item-p)
19056 (todo-diary-nonmarking-matcher, todo-display-as-todo-file)
19057 (todo-display-categories, todo-display-sorted, todo-done-item-p)
19058 (todo-done-item-section-p, todo-done-separator)
19059 (todo-done-string-matcher, todo-files, todo-filter-items)
19060 (todo-filter-items-1, todo-filter-items-filename, todo-find-item)
19061 (todo-gen-arglists, todo-get-count, todo-get-overlay, todo-indent)
19062 (todo-insert-category-line, todo-insert-item-from-calendar)
19063 (todo-insert-sort-button, todo-insert-with-overlays)
19064 (todo-insertion-command-name, todo-insertion-key-bindings)
19065 (todo-label-to-key, todo-longest-category-name-length)
19066 (todo-make-categories-list, todo-mode-external-set)
19067 (todo-mode-line-control, todo-modes-set-1, todo-modes-set-2)
19068 (todo-modes-set-3, todo-multiple-filter-files)
19069 (todo-nondiary-marker-matcher, todo-prefix-overlays)
19070 (todo-read-category, todo-read-date, todo-read-dayname)
19071 (todo-read-file-name, todo-read-time)
19072 (todo-reevaluate-category-completions-files-defcustom)
19073 (todo-reevaluate-default-file-defcustom)
19074 (todo-reevaluate-filelist-defcustoms)
19075 (todo-reevaluate-filter-files-defcustom)
19076 (todo-reset-and-enable-done-separator, todo-reset-comment-string)
19077 (todo-reset-done-separator, todo-reset-done-separator-string)
19078 (todo-reset-done-string, todo-reset-global-current-todo-file)
19079 (todo-reset-highlight-item, todo-reset-nondiary-marker)
19080 (todo-reset-prefix, todo-set-categories)
19081 (todo-set-date-from-calendar, todo-set-show-current-file)
19082 (todo-set-top-priorities, todo-short-file-name)
19083 (todo-show-current-file, todo-sort, todo-time-string-matcher)
19084 (todo-total-item-counts, todo-update-buffer-list)
19085 (todo-update-categories-display, todo-update-categories-sexp)
19086 (todo-update-count, todo-validate-name, todo-y-or-n-p):
19088 (todo-archive-mode, todo-categories-mode, todo-filtered-items-mode):
19090 (todo-categories, todo-display, todo-edit, todo-faces)
19091 (todo-filtered): New defgroups.
19092 (todo-archived-only, todo-button, todo-category-string, todo-date)
19093 (todo-diary-expired, todo-done, todo-done-sep, todo-comment)
19094 (todo-mark, todo-nondiary, todo-prefix-string, todo-search)
19095 (todo-sorted-column, todo-time, todo-top-priority): New deffaces.
19096 (todo-add-item-if-new-category, todo-always-add-time-string)
19097 (todo-categories-align, todo-categories-archived-label)
19098 (todo-categories-category-label, todo-categories-diary-label)
19099 (todo-categories-done-label, todo-categories-number-separator)
19100 (todo-categories-todo-label, todo-categories-totals-label)
19101 (todo-category-completions-files, todo-completion-ignore-case)
19102 (todo-default-todo-file, todo-diary-nonmarking, todo-directory)
19103 (todo-done-separator-string, todo-done-string)
19104 (todo-files-function, todo-filter-done-items, todo-filter-files)
19105 (todo-highlight-item, todo-include-in-diary, todo-indent-to-here)
19106 (todo-initial-category, todo-initial-file, todo-item-mark)
19107 (todo-legacy-date-time-regexp, todo-mode-line-function)
19108 (todo-nondiary-marker, todo-number-prefix)
19109 (todo-print-buffer-function, todo-show-current-file)
19110 (todo-show-done-only, todo-show-first, todo-show-with-done)
19111 (todo-skip-archived-categories, todo-top-priorities-overrides)
19112 (todo-undo-item-omit-comment, todo-use-only-highlighted-region)
19113 (todo-visit-files-commands, todo-wrap-lines, todo-y-with-space):
19115 (todo-category-done, todo-date-pattern, todo-date-string-start)
19116 (todo-diary-items-buffer, todo-done-string-start)
19117 (todo-filtered-items-buffer, todo-item-start)
19118 (todo-month-abbrev-array, todo-month-name-array)
19119 (todo-nondiary-end, todo-nondiary-start, todo-regexp-items-buffer)
19120 (todo-top-priorities-buffer): New defconsts.
19121 (todo-archive-mode-map, todo-archives, todo-categories-mode-map)
19122 (todo-categories-with-marks, todo-category-string-face)
19123 (todo-comment-face, todo-comment-string, todo-current-todo-file)
19124 (todo-date-face, todo-date-from-calendar, todo-descending-counts)
19125 (todo-diary-expired-face, todo-done-face, todo-done-sep-face)
19126 (todo-done-separator, todo-edit-buffer, todo-edit-mode-map)
19127 (todo-file-buffers, todo-files, todo-filtered-items-mode-map)
19128 (todo-font-lock-keywords, todo-global-current-todo-file)
19129 (todo-insertion-commands, todo-insertion-commands-arg-key-list)
19130 (todo-insertion-commands-args)
19131 (todo-insertion-commands-args-genlist)
19132 (todo-insertion-commands-names, todo-insertion-map)
19133 (todo-key-bindings-t, todo-key-bindings-t+a)
19134 (todo-key-bindings-t+a+f, todo-key-bindings-t+f, todo-mode-map)
19135 (todo-multiple-filter-files, todo-multiple-filter-files-widget)
19136 (todo-nondiary-face, todo-print-buffer, todo-time-face)
19137 (todo-visited): New variables.
19139 2013-06-21 Glenn Morris <rgm@gnu.org>
19141 * play/cookie1.el (cookie-apropos): Add optional display argument.
19142 * obsolete/yow.el (apropos-zippy): Use cookie-apropos.
19143 (psychoanalyze-pinhead): Use cookie-doctor.
19145 2013-06-21 Juanma Barranquero <lekktu@gmail.com>
19147 * emacs-lisp/package.el (tar-get-file-descriptor)
19148 (tar--extract): Declare.
19150 2013-06-21 Eduard Wiebe <usenet@pusto.de>
19152 Extend flymake's warning predicate to be a function (bug#14217).
19153 * progmodes/flymake.el (flymake-warning-predicate): New.
19154 (flymake-parse-line): Use it.
19155 (flymake-warning-re): Make obsolete alias to
19156 `flymake-warning-predicate'.
19158 2013-06-21 Stefan Monnier <monnier@iro.umontreal.ca>
19160 * emacs-lisp/package.el (package-alist): Include obsolete packages.
19161 (package-obsolete-list): Remove.
19162 (package-activate): Remove min-version argument. Add `force' argument.
19163 Adjust to new package-alist format.
19164 (package-mark-obsolete): Remove.
19165 (package-unpack): Force reload of the package's autoloads.
19166 (package-installed-p): Check builtins if the installed package is not
19168 (package-initialize): Don't reset package-obsolete-list.
19169 Don't specify which package version to activate.
19170 (package-process-define-package, describe-package-1)
19171 (package-menu--generate): Adjust to new package-alist format.
19173 2013-06-21 Juanma Barranquero <lekktu@gmail.com>
19175 * allout-widgets.el (allout-widgets-mode-off)
19176 (allout-widgets-mode-on, allout-widgets-pre-command-business)
19177 (allout-widgets-post-command-business)
19178 (allout-widgets-after-copy-or-kill-function)
19179 (allout-widgets-after-undo-function, allout-test-range-overlaps)
19180 (allout-decorate-item-and-context)
19181 (allout-graphics-modification-handler): Fix typos in docstrings.
19182 (allout-get-or-create-parent-widget): Use `looking-at-p'.
19184 * cmuscheme.el (scheme-start-file): Doc fix.
19185 (inferior-scheme-mode, switch-to-scheme): Fix typos in docstrings.
19186 (scheme-input-filter): Use `string-match-p'.
19188 * composite.el (compose-gstring-for-terminal): Fix typo in docstring.
19190 * dired-x.el: Use Dired consistently in docstrings.
19192 * dired.el: Use Dired consistently in docstrings.
19193 (dired-readin, dired-mode): Use `setq-local'.
19194 (dired-switches-alist): Make defvar-local.
19195 (dired-buffers-for-dir): Use `zerop'.
19196 (dired-safe-switches-p, dired-switches-escape-p)
19197 (dired-insert-old-subdirs, dired-move-to-end-of-filename)
19198 (dired-glob-regexp, dired-in-this-tree, dired-goto-file-1)
19199 (dired-sort-set-mode-line, dired-sort-toggle, dired-sort-R-check)
19200 (dired-goto-next-nontrivial-file): Use `string-match-p'.
19201 (dired-align-file, dired-insert-directory, dired-mark-files-in-region)
19202 (dired-toggle-marks, dired-mark-files-containing-regexp)
19203 (dired-mark-symlinks, dired-mark-directories, dired-mark-executables)
19204 (dired-flag-auto-save-files, dired-flag-backup-files):
19205 Use `looking-at-p'.
19206 (dired-mark-files-regexp, dired-build-subdir-alist):
19207 Use `string-match-p', `looking-at-p'.
19209 * dos-w32.el (untranslated-canonical-name, untranslated-file-p)
19210 (direct-print-region-helper): Use `string-match-p'.
19212 2013-06-21 Leo Liu <sdl.web@gmail.com>
19214 * comint.el (comint-redirect-results-list-from-process):
19217 2013-06-21 Lars Magne Ingebrigtsen <larsi@gnus.org>
19219 * net/eww.el (eww-update-header-line-format): Quote % characters.
19221 2013-06-21 Glenn Morris <rgm@gnu.org>
19223 * play/cookie1.el (cookie): New custom group.
19224 (cookie-file): New option.
19225 (cookie-check-file): New function.
19226 (cookie): Make it interactive. Make start and end messages optional.
19227 Interactively, display the result. Default to cookie-file.
19228 (cookie-insert): Default to cookie-file.
19229 (cookie-snarf): Make start and end messages optional.
19230 Default to cookie-file. Use with-temp-buffer.
19231 (cookie-read): Rename from read-cookie.
19232 Make start and end messages optional. Default to cookie-file.
19233 (cookie-shuffle-vector): Rename from shuffle-vector. Use dotimes.
19234 Do not autoload it.
19235 (cookie-apropos, cookie-doctor): New functions, copied from yow.el
19236 * obsolete/yow.el (read-zippyism): Use new name for read-cookie.
19238 2013-06-21 Leo Liu <sdl.web@gmail.com>
19240 * progmodes/octave.el (octave-mode): Backward compatibility fix.
19242 2013-06-21 Glenn Morris <rgm@gnu.org>
19244 * font-lock.el (lisp-font-lock-keywords-2): Add with-eval-after-load.
19246 2013-06-21 Stefan Monnier <monnier@iro.umontreal.ca>
19247 Daniel Hackney <dan@haxney.org>
19249 * emacs-lisp/package.el: Use tar-mode rather than tar executable.
19250 Consolidate the single-file vs tarball code.
19251 (package-desc-suffix): New function.
19252 (package-desc-full-name): Don't bother inlining it.
19253 (package-load-descriptor): Return the new package-desc.
19254 (package-mark-obsolete): Remove unused arg `package'.
19255 (package-unpack): Make it work for single files as well.
19256 Make it update package-alist.
19257 (package--make-autoloads-and-stuff): Rename from
19258 package--make-autoloads-and-compile. Don't compile any more.
19259 (package--compile): New function.
19260 (package-generate-description-file): New function, extracted from
19261 package-unpack-single.
19262 (package-unpack-single): Remove.
19263 (package--with-work-buffer): Add indentation and debugging info.
19264 (package-download-single): Remove.
19265 (package-install-from-archive): Rename from package-download-tar, make
19266 it take a pkg-desc, and make it work for single files as well.
19267 (package-download-transaction): Simplify.
19268 (package-tar-file-info): Remove `file' arg. Rewrite not to use an
19269 external tar program.
19270 (package-install-from-buffer): Remove `pkg-desc' argument.
19271 Use package-tar-file-info for tar-mode buffers.
19272 (package-install-file): Simplify accordingly.
19273 (package-archive-base): Change to take a pkg-desc.
19274 * tar-mode.el (tar--check-descriptor): New function, extracted from
19275 tar-get-descriptor.
19276 (tar-get-descriptor): Use it.
19277 (tar-get-file-descriptor): New function.
19278 (tar--extract): New function, extracted from tar-extract.
19279 (tar--extract): Use it.
19280 * emacs-lisp/package-x.el (package-upload-file): Decode the file, in
19281 case the summary uses non-ascii. Adjust to new calling convention of
19282 package-tar-file-info.
19284 2013-06-21 Leo Liu <sdl.web@gmail.com>
19286 * comint.el (comint-redirect-results-list-from-process):
19287 Fix random delay. (Bug#14681)
19289 2013-06-21 Juanma Barranquero <lekktu@gmail.com>
19291 * profiler.el (profiler-format-number): Use log, not log10.
19293 2013-06-20 Juanma Barranquero <lekktu@gmail.com>
19295 * term/x-win.el (emacs-session-filename): Use `locate-user-emacs-file'.
19297 2013-06-20 Stefan Monnier <monnier@iro.umontreal.ca>
19299 * emacs-lisp/cl-loaddefs.el: Don't version-control any more.
19300 * emacs-lisp/cl-lib.el: Load cl-macs when cl-loaddefs is not
19302 * Makefile.in (AUTOGEN_VCS): Move cl-loaddefs.el...
19303 (AUTOGENEL): ... here.
19304 * emacs-lisp/cl-macs.el (cl--sublis): New function.
19305 (cl--defsubst-expand): Use it.
19307 2013-06-20 Paul Eggert <eggert@cs.ucla.edu>
19309 * subr.el (log10): Move here from C code, and declare as obsolete.
19310 All uses of (log10 X) replaced with (log X 10).
19312 2013-06-20 Juanma Barranquero <lekktu@gmail.com>
19314 * emacs-lisp/tabulated-list.el (tabulated-list-format): Fix typo.
19315 Declare with `defvar-local'.
19316 (tabulated-list-use-header-line, tabulated-list-entries)
19317 (tabulated-list-padding, tabulated-list-printer)
19318 (tabulated-list-sort-key): Declare with `defvar-local'.
19319 (tabulated-list-init-header, tabulated-list-print-fake-header):
19322 2013-06-20 Michael Albinus <michael.albinus@gmx.de>
19324 * arc-mode.el (archive-mode): Add `archive-write-file' to
19325 `write-contents-functions' also for remote files. (Bug#14652)
19327 2013-06-20 Juanma Barranquero <lekktu@gmail.com>
19329 * cus-edit.el (custom-commands): Fix typos.
19330 (custom-display): Fix tooltip text.
19331 (custom-magic-alist, custom-filter-face-spec, custom-group-members):
19332 Fix typos in docstrings.
19333 (custom--initialize-widget-variables, Custom-mode): Use `setq-local'.
19334 (custom-unlispify-menu-entry, custom-magic-value-create)
19335 (custom-add-see-also, custom-group-value-create): Use ?\s.
19336 (custom-guess-type, customize-apropos, editable-field)
19337 (custom-face-value-create): Use `string-match-p'.
19338 (custom-save-variables, custom-save-faces): Use `looking-at-p'.
19340 * custom.el (custom-load-symbol): Use `string-match-p'.
19342 * ansi-color.el: Convert to lexical binding.
19343 (ansi-colors): Fix URL.
19344 (ansi-color-context, ansi-color-context-region): Use defvar-local.
19345 (ansi-color-apply-sequence, ansi-color-map): Fix typos in docstrings.
19346 (ansi-color-make-color-map): Rename local var ansi-color-map to map.
19348 2013-06-19 Lars Magne Ingebrigtsen <larsi@gnus.org>
19350 * net/eww.el (eww-process-text-input): Display passwords as asterisks.
19352 * net/shr.el (shr-make-table-1): Protect against invalid column-spans.
19354 2013-06-19 Tom Tromey <tromey@redhat.com>
19356 * net/eww.el (eww-top-url): Remove.
19357 (eww-home-url, eww-start-url, eww-contents-url): New defvars.
19358 (eww-render): Set new variables. Don't set eww-top-url.
19359 (eww-handle-link): Handle "prev", "home", and "contents".
19360 Downcase the rel text.
19361 (eww-top-url): Choose best top URL.
19363 2013-06-19 Lars Magne Ingebrigtsen <larsi@gnus.org>
19365 * net/eww.el: Rewrite to implement form elements "by hand" instead of
19366 relying in widget.el. Using widget.el leads to too many
19367 user interface inconsistencies.
19368 (eww-self-insert): Implement entering commands in text fields.
19369 (eww-process-text-input): New function to make text input field editing
19371 (eww-submit): Rewrite to use the new-style form methods.
19372 (eww-select-display): Display the correct selected item.
19373 (eww-change-select): Implement changing the select value.
19374 (eww-toggle-checkbox): Implement radio/checkboxes.
19375 (eww-update-field): Fix compilation error.
19376 (eww-tag-textarea): Implement <textarea>.
19378 * net/shr.el (shr-urlify): Use `keymap' instead of `local-map' so that
19379 we don't shadow mode-specific bindings.
19381 * net/eww.el (eww-browse-url): Don't push stuff onto history if there's
19384 * net/shr.el (shr-map): Bind [down-mouse-1] to browse URLs.
19386 2013-06-19 Glenn Morris <rgm@gnu.org>
19388 * emacs-lisp/eieio.el (defclass): Make it eval-and-compile once more.
19390 2013-06-19 Michael Albinus <michael.albinus@gmx.de>
19392 * net/tramp-adb.el (tramp-adb-get-toolbox): Remove function, it is
19395 * net/tramp-sh.el (tramp-find-shell): Don't set "busybox" property.
19397 2013-06-19 Lars Magne Ingebrigtsen <larsi@gnus.org>
19399 * net/browse-url.el (browse-url-browser-function):
19400 `eww-browse-url' has the right calling signature, `eww' does not.
19402 2013-06-19 Glenn Morris <rgm@gnu.org>
19404 * emacs-lisp/bytecomp.el (byte-compile-file-form-autoload):
19405 Only eval autoloaded macros.
19406 (byte-compile-autoload): Only give the macro warning for macros.
19408 * progmodes/cperl-mode.el (ps-bold-faces, ps-italic-faces)
19409 (ps-underlined-faces): Declare.
19411 * progmodes/idlwave.el (func-menu): Only set it up on XEmacs.
19412 (speedbar-add-supported-extension): Declare.
19414 * international/titdic-cnv.el (tit-process-header, miscdic-convert):
19415 Don't include a date stamp in the header of the generated file;
19416 it leads to needless differences between output files.
19418 2013-06-19 Michael Albinus <michael.albinus@gmx.de>
19420 * net/secrets.el (secrets-struct-secret-content-type):
19421 Replace check of introspection data by a test call of "CreateItem".
19422 Some servers do not offer introspection.
19424 2013-06-19 Stefan Monnier <monnier@iro.umontreal.ca>
19426 * electric.el (electric-pair-mode): Improve interaction with
19427 electric-layout-mode.
19428 (electric-pair-default-inhibit): Don't assume (eq char (char-before)).
19429 (electric-pair-syntax): Use text-mode-syntax-table in comments
19431 (electric-pair--insert): New function.
19432 (electric-pair-post-self-insert-function): Use it and
19433 electric--after-char-pos.
19435 2013-06-19 Leo Liu <sdl.web@gmail.com>
19437 * progmodes/octave.el (octave-help): Fix regexp.
19439 2013-06-18 Lars Magne Ingebrigtsen <larsi@gnus.org>
19441 * net/shr.el (shr-make-table-1): Implement <td rowspan>.
19442 (shr-table-horizontal-line): Allow nil as a value, and change the
19444 (shr-insert-table-ruler): Respect the nil value.
19446 2013-06-18 Tom Tromey <tromey@barimba>
19448 * net/eww.el (eww-next-url, eww-previous-url, eww-up-url, eww-top-url):
19450 (eww-open-file): New defun.
19451 (eww-render): Initialize new variables.
19452 (eww-display-html): Handle "link" and "a".
19453 (eww-handle-link, eww-tag-link, eww-tag-a): New defuns.
19454 (eww-mode-map): Move "p" to "l". Bind "p", "n", "t", and "u".
19455 (eww-back-url): Rename from eww-previous-url.
19456 (eww-next-url, eww-previous-url, eww-up-url, eww-top-url):
19459 2013-06-18 Dmitry Gutov <dgutov@yandex.ru>
19461 * progmodes/ruby-mode.el (ruby-syntax-before-regexp-re):
19462 Distinguish ternary operator tokens from slash symbol and slash
19465 2013-06-18 Juanma Barranquero <lekktu@gmail.com>
19467 Convert symbol prettification into minor mode and global minor mode.
19469 * progmodes/prog-mode.el (prettify-symbols-alist): Rename from
19470 `prog-prettify-symbols', and make a local defvar instead of defcustom.
19471 (prettify-symbols--keywords): Rename from
19472 `prog-prettify-symbols-alist' and make a local defvar.
19473 (prettify-symbols--compose-symbol): Rename from
19474 `prog--prettify-font-lock-compose-symbol'.
19475 (prettify-symbols--make-keywords): Rename from
19476 `prog-prettify-font-lock-symbols-keywords' and simplify.
19477 (prog-prettify-install): Remove.
19478 (prettify-symbols-mode): New minor mode, based on
19479 `prog-prettify-install'.
19480 (turn-on-prettify-symbols-mode): New function.
19481 (global-prettify-symbols-mode): New globalized minor mode.
19483 * emacs-lisp/lisp-mode.el (lisp-mode-variables):
19484 * progmodes/cfengine.el (cfengine3-mode):
19485 * progmodes/perl-mode.el (perl-mode): Don't call
19486 `prog-prettify-install'; set `prettify-symbols-alist' instead.
19488 2013-06-18 Juri Linkov <juri@jurta.org>
19490 * files-x.el (modify-file-local-variable-message): New function.
19491 (modify-file-local-variable)
19492 (modify-file-local-variable-prop-line): Add arg INTERACTIVE
19493 and call `modify-file-local-variable-message' when it's non-nil.
19494 (add-file-local-variable, delete-file-local-variable)
19495 (add-file-local-variable-prop-line)
19496 (delete-file-local-variable-prop-line): Add arg INTERACTIVE
19497 and use it. (Bug#9820)
19499 2013-06-18 Juri Linkov <juri@jurta.org>
19501 * emulation/vi.el (vi-shell-op):
19502 * emulation/vip.el (vip-execute-com, ex-command):
19503 * emulation/viper-cmd.el (viper-exec-bang):
19504 * emulation/viper-ex.el (ex-command): Add non-nil arg REPLACE to
19505 the call of `shell-command-on-region'. (Bug#14637)
19507 * simple.el (shell-command-on-region): Doc fix.
19509 2013-06-18 Stefan Monnier <monnier@iro.umontreal.ca>
19511 * emacs-lisp/eieio-custom.el: Remove misleading Version: header
19514 2013-06-18 Glenn Morris <rgm@gnu.org>
19516 * net/eww.el, net/shr.el, net/shr-color.el: Move here from gnus/.
19518 * newcomment.el (comment-search-forward, comment-search-backward):
19519 Doc fix. (Bug#14376)
19521 2013-06-18 Juanma Barranquero <lekktu@gmail.com>
19523 * face-remap.el (buffer-face-toggle): Fix typo in docstring.
19524 (buffer-face-mode-invoke): Doc fix.
19526 2013-06-18 Matthias Meulien <orontee@gmail.com>
19528 * tabify.el (untabify, tabify): With prefix, apply to entire buffer.
19529 <http://lists.gnu.org/archive/html/emacs-devel/2013-03/msg00545.html>
19531 2013-06-18 Glenn Morris <rgm@gnu.org>
19533 * generic-x.el (bat-generic-mode, rc-generic-mode, rul-generic-mode):
19534 Replace obsolete function generic-make-keywords with its expansion.
19536 * progmodes/python.el (ffap-alist): Declare.
19538 * textmodes/reftex.el (bibtex-mode-map): Declare.
19540 2013-06-18 Stefan Monnier <monnier@iro.umontreal.ca>
19542 * emacs-lisp/package.el: Update package-alist after install (bug#14632).
19543 (package-unpack, package-unpack-single): Return the pkg-dir.
19544 (package-download-transaction): Use it to update package-alist.
19546 2013-06-17 Lars Magne Ingebrigtsen <larsi@gnus.org>
19548 * net/browse-url.el (browse-url-browser-function): Add `eww' as a
19551 2013-06-17 Juri Linkov <juri@jurta.org>
19553 * net/webjump.el (webjump-sample-sites): Add DuckDuckGo.
19555 2013-06-17 Dmitry Gutov <dgutov@yandex.ru>
19557 * emacs-lisp/package.el (package-load-descriptor):
19558 Remove `with-syntax-table' call, `read' doesn't need it.
19559 http://lists.gnu.org/archive/html/emacs-devel/2013-06/msg00539.html
19561 2013-06-17 Juanma Barranquero <lekktu@gmail.com>
19563 * startup.el (command-line): Expand package name returned by
19564 `package--description-file' (bug#14639).
19566 2013-06-17 Dmitry Gutov <dgutov@yandex.ru>
19568 * emacs-lisp/package.el (package-load-descriptor): Do not call
19569 `emacs-lisp-mode', just use its syntax table.
19571 2013-06-17 Juanma Barranquero <lekktu@gmail.com>
19573 * progmodes/prog-mode.el (prog-prettify-install): Add `composition' to
19574 `font-lock-extra-managed-props' if any prettifying keyword is added.
19575 (prog--prettify-font-lock-compose-symbol): Use ?\s instead of ?\ .
19576 (prog-mode): Use `setq-local'.
19578 2013-06-17 Stefan Monnier <monnier@iro.umontreal.ca>
19580 * international/characters.el (standard-case-table): Set syntax of ?»
19581 and ?« to punctuation.
19583 2013-06-16 Juanma Barranquero <lekktu@gmail.com>
19585 * progmodes/prog-mode.el (prog--prettify-font-lock-compose-symbol):
19586 Save relevant match data before calling `syntax-ppss' (bug#14595).
19588 2013-06-15 Juri Linkov <juri@jurta.org>
19590 * files-x.el (modify-file-local-variable-prop-line): Add local
19591 variables to the end of the existing comment on the first line.
19592 Use `file-auto-mode-skip' to skip interpreter magic line,
19593 and also skip XML declaration.
19595 2013-06-15 Stefan Monnier <monnier@iro.umontreal.ca>
19597 * startup.el (package--builtin-versions): New var.
19598 (package-subdirectory-regexp): Remove.
19599 (package--description-file): Hard code its value instead.
19601 * emacs-lisp/package.el: Don't activate packages older than builtin.
19602 (package-obsolete-list): Rename from package-obsolete-alist, and make
19603 it into a simple list of package-desc.
19604 (package-strip-version): Remove.
19605 (package-built-in-p): Use package--builtin-versions.
19606 (package-mark-obsolete): Simplify.
19607 (package-process-define-package): Mark it obsolete if older than the
19609 (package-handle-response): Use line-end-position.
19610 (package-read-archive-contents, package--download-one-archive):
19612 (package--add-to-archive-contents): Skip if older than the builtin or
19614 (package-menu-describe-package): Fix last change.
19615 (package-list-unversioned): New var.
19616 (package-menu--generate): Use it.
19618 * emacs-lisp/autoload.el: Manage package--builtin-versions.
19619 (autoload--insert-text, autoload--insert-cookie-text): New functions.
19620 (autoload-builtin-package-versions): New variable.
19621 (autoload-generate-file-autoloads): Use them.
19622 Remove the list of autoloaded functions/macros from the
19623 (autoload...) comments.
19625 * Makefile.in (autoloads): Set autoload-builtin-package-versions.
19627 2013-06-15 Eli Zaretskii <eliz@gnu.org>
19629 * simple.el (line-move-partial): Don't jump to the next screen
19630 line as soon as it becomes visible. Instead, continue enlarging
19631 the vscroll until the portion of a tall screen line that's left on
19632 display is about the height of the frame's default font.
19635 2013-06-15 Glenn Morris <rgm@gnu.org>
19637 * vc/vc-dispatcher.el (vc-compilation-mode): Avoid making
19638 compilation-error-regexp-alist void, or local while let-bound.
19640 * progmodes/make-mode.el (makefile-mode-syntax-table):
19641 Treat "=" as punctuation. (Bug#14614)
19643 2013-06-15 Juanma Barranquero <lekktu@gmail.com>
19645 * help-fns.el (describe-variable):
19646 Add extra line for permanent-local variables.
19648 2013-06-15 Simen Heggestøyl <simenheg@ifi.uio.no> (tiny change)
19650 * progmodes/scheme.el (scheme-font-lock-keywords-2):
19651 Add export, import, library. (Bug#9164)
19652 (library): Set indent function.
19654 2013-06-14 Glenn Morris <rgm@gnu.org>
19656 * term/xterm.el (xterm--query):
19657 Stop after first matching handler. (Bug#14615)
19659 2013-06-14 Ivan Kanis <ivan@kanis.fr>
19661 Add support for dired in saveplace.
19662 * dired.el (dired-initial-position-hook): New variable.
19663 (dired-initial-position): Call hook to place cursor position.
19664 * saveplace.el (save-place-to-alist): Add dired position.
19665 (save-place-dired-hook): New function.
19667 2013-06-14 Stefan Monnier <monnier@iro.umontreal.ca>
19669 * subr.el (eval-after-load, set-temporary-overlay-map): Use indirection
19670 through a symbol rather than letrec.
19672 * emacs-lisp/package.el: Don't recompute dir. Use pkg-descs more.
19673 (package-desc): Add `dir' field.
19674 (package-desc-full-name): New function.
19675 (package-load-descriptor): Combine the two arguments. Don't use `load'.
19676 (package-maybe-load-descriptor): Remove.
19677 (package-load-all-descriptors): Just call package-load-descriptor.
19678 (package--disabled-p): New function.
19679 (package-desc-vers, package-desc-doc): Remove aliases.
19680 (package--dir): Remove function.
19681 (package-activate): Check if a package is disabled.
19682 (package-process-define-package): New function, extracted from
19684 (define-package): Turn into a place holder.
19685 (package-unpack-single, package-tar-file-info):
19686 Use package--description-file.
19687 (package-compute-transaction): Use package--disabled-p.
19688 (package-download-transaction): Don't call
19689 package-maybe-load-descriptor since they're all loaded anyway.
19690 (package-install): Change argument to be a pkg-desc.
19691 (package-delete): Use a single pkg-desc argument.
19692 (describe-package-1): Use package-desc-dir instead of package--dir.
19693 Use package-desc property instead of package-symbol.
19694 (package-install-button-action): Adjust accordingly.
19695 (package--push): Rewrite.
19696 (package-menu--print-info): Adjust accordingly. Change the ID format
19698 (package-menu-describe-package, package-menu-get-status)
19699 (package-menu--find-upgrades, package-menu-mark-upgrades)
19700 (package-menu-execute, package-menu--name-predicate):
19701 Adjust accordingly.
19702 * startup.el (package--description-file): New function.
19703 (command-line): Use it.
19704 * emacs-lisp/package-x.el (package-upload-buffer-internal):
19705 Use package-desc-version.
19707 * emacs-lisp/bytecomp.el (byte-compile-force-lexical-warnings): New var.
19708 (byte-compile-preprocess): Use it.
19709 (byte-compile-file-form-defalias): Try a bit harder to use macros we
19710 can't quite recognize.
19711 (byte-compile-add-to-list): Remove.
19712 * emacs-lisp/cconv.el (cconv-warnings-only): New function.
19713 (cconv-closure-convert): Add assertion.
19715 * emacs-lisp/map-ynp.el: Use lexical-binding.
19716 (map-y-or-n-p): Remove unused vars `tail' and `object'.
19717 Factor out some repeated code.
19719 2013-06-13 Stefan Monnier <monnier@iro.umontreal.ca>
19721 * subr.el (with-eval-after-load): New macro.
19722 (eval-after-load): Allow form to be a function.
19723 take advantage of lexical-binding.
19724 (do-after-load-evaluation): Use dolist and adjust to new format.
19725 * simple.el (bad-packages-alist): Use dolist and with-eval-after-load.
19727 2013-06-13 Juri Linkov <juri@jurta.org>
19729 * replace.el (perform-replace): Display "symbol " and other search
19730 modes from `isearch-message-prefix' in the *Help* buffer.
19732 * isearch.el (isearch-query-replace): Add " symbol" and other
19733 possible search modes from `isearch-message-prefix' to the prompt.
19734 (isearch-occur): Use `with-isearch-suspended' to not exit Isearch
19735 when reading a regexp to collect.
19737 2013-06-13 Juri Linkov <juri@jurta.org>
19739 * isearch.el (word-search-regexp): Match whitespace if the search
19740 string begins or ends in whitespace. The LAX arg is applied to
19741 both ends of the search string. Use `regexp-quote' and explicit
19742 \< and \> instead of \b. Use \` and \' instead of ^ and $.
19743 (isearch-symbol-regexp): Sync with `word-search-regexp' where word
19744 boundaries are replaced with symbol boundaries, and characters
19745 between symbols match non-word non-symbol syntax. (Bug#14602)
19747 2013-06-13 Juri Linkov <juri@jurta.org>
19749 * isearch.el (isearch-del-char): Don't exceed the length of
19750 `isearch-string' by the prefix arg. (Bug#14563)
19752 2013-06-13 Juri Linkov <juri@jurta.org>
19754 * isearch.el (isearch-yank-word, isearch-yank-line)
19755 (isearch-char-by-name, isearch-quote-char)
19756 (isearch-printing-char, isearch-process-search-char):
19757 Add optional count prefix arg. (Bug#14563)
19759 * international/isearch-x.el
19760 (isearch-process-search-multibyte-characters):
19761 Add optional count prefix arg.
19763 2013-06-13 Stefan Monnier <monnier@iro.umontreal.ca>
19765 * subr.el (internal-push-keymap, internal-pop-keymap): New functions.
19766 (set-temporary-overlay-map): Use them (bug#14095); and take advantage of
19769 2013-06-13 Vitalie Spinu <spinuvit@gmail.com>
19771 * subr.el (set-temporary-overlay-map): Add on-exit argument.
19773 2013-06-13 Glenn Morris <rgm@gnu.org>
19775 * startup.el (tty-handle-args):
19776 Don't just discard "--" and anything after. (Bug#14608)
19778 * emacs-lisp/lisp.el (forward-sexp, backward-sexp): Doc fixes.
19780 2013-06-13 Michael Albinus <michael.albinus@gmx.de>
19782 Implement changes in Secret Service API. Make it backward compatible.
19783 * net/secrets.el (secrets-struct-secret-content-type): New defonst.
19784 (secrets-create-item): Use it. Prefix properties with interface.
19786 2013-06-13 Michael Hoffman <9qobl2n02@sneakemail.com> (tiny change)
19788 * term.el (term-suppress-hard-newline): New option. (Bug#12017)
19789 (term-emulate-terminal): Respect term-suppress-hard-newline.
19791 2013-06-13 E Sabof <esabof@gmail.com> (tiny change)
19793 * image-dired.el (image-dired-dired-toggle-marked-thumbs):
19794 Only remove a `thumb-file' overlay. (Bug#14548)
19796 2013-06-12 Grégoire Jadi <daimrod@gmail.com>
19798 * mail/reporter.el (reporter-submit-bug-report):
19799 Handle missing package-name. (Bug#14600)
19801 2013-06-12 Rüdiger Sonderfeld <ruediger@c-plusplus.de>
19803 * textmodes/reftex-cite.el (reftex-cite-regexp-hist)
19804 (reftex-citation-prompt, reftex-default-bibliography)
19805 (reftex-bib-or-thebib, reftex-get-bibfile-list)
19806 (reftex-pop-to-bibtex-entry, reftex-extract-bib-entries)
19807 (reftex-bib-sort-author, reftex-bib-sort-year)
19808 (reftex-bib-sort-year-reverse, reftex-get-crossref-alist)
19809 (reftex-extract-bib-entries-from-thebibliography)
19810 (reftex-get-bibkey-default, reftex-get-bib-names)
19811 (reftex-parse-bibtex-entry, reftex-get-bib-field)
19812 (reftex-format-bib-entry, reftex-parse-bibitem)
19813 (reftex-format-bibitem, reftex-do-citation)
19814 (reftex-figure-out-cite-format, reftex-offer-bib-menu)
19815 (reftex-restrict-bib-matches, reftex-extract-bib-file)
19816 (reftex-insert-bib-matches, reftex-format-citation)
19817 (reftex-make-cite-echo-string, reftex-bibtex-selection-callback)
19818 (reftex-create-bibtex-file): Add docstrings, mostly by converting
19819 existing comments into docstrings.
19821 2013-06-12 Xue Fuqiao <xfq.free@gmail.com>
19823 * ibuf-ext.el (ibuffer-mark-help-buffers): Doc fix.
19825 2013-06-12 Andreas Schwab <schwab@suse.de>
19827 * international/mule.el (auto-coding-alist): Use utf-8-emacs-unix
19828 for auto-save files.
19830 2013-06-12 Glenn Morris <rgm@gnu.org>
19832 * ido.el (ido-delete-ignored-files): Remove.
19833 (ido-wide-find-dirs-or-files, ido-make-file-list-1):
19834 Go back to calling ido-ignore-item-p directly.
19836 2013-06-12 Eyal Lotem <eyal.lotem@gmail.com> (tiny change)
19838 * ido.el (ido-wide-find-dirs-or-files): Respect ido-case-fold.
19840 * ido.el (ido-delete-ignored-files): New function,
19841 split from ido-make-file-list-1.
19842 (ido-wide-find-dirs-or-files): Maybe ignore files. (Bug#13003)
19843 (ido-make-file-list-1): Use ido-delete-ignored-files.
19845 2013-06-12 Leo Liu <sdl.web@gmail.com>
19847 * progmodes/octave.el (inferior-octave-startup)
19848 (inferior-octave-completion-table)
19849 (inferior-octave-track-window-width-change)
19850 (octave-eldoc-function-signatures, octave-help)
19851 (octave-find-definition): Use single quoted strings.
19852 (inferior-octave-startup-args): Change default value.
19853 (inferior-octave-startup): Do not hard code "-i" and
19854 "--no-line-editing".
19855 (inferior-octave-resync-dirs): Add optional arg NOERROR.
19856 (inferior-octave-directory-tracker): Use it.
19857 (octave-goto-function-definition): Robustify.
19858 (octave-help): Support highlighting operators in 'See also'.
19859 (octave-find-definition): Find subfunctions only in Octave mode.
19861 2013-06-12 Stefan Monnier <monnier@iro.umontreal.ca>
19863 * help-fns.el (help-fns--compiler-macro): If the handler function is
19864 named, then put a link to it.
19865 * help-mode.el (help-function-cmacro): Adjust regexp for cl-lib names.
19866 * emacs-lisp/cl-macs.el (cl--compiler-macro-typep): New function.
19867 (cl-typep): Use it.
19868 (cl-eval-when): Simplify debug spec.
19869 (cl-define-compiler-macro): Use eval-and-compile. Give a name to the
19870 compiler-macro function instead of setting `compiler-macro-file'.
19872 2013-06-12 Xue Fuqiao <xfq.free@gmail.com>
19874 * vc/vc-cvs.el (vc-cvs-stay-local): Doc fix.
19875 * vc/vc-hooks.el (vc-stay-local): Doc fix.
19877 2013-06-12 Stefan Monnier <monnier@iro.umontreal.ca>
19878 Daniel Hackney <dan@haxney.org>
19880 First part of Daniel Hackney's patch to package.el.
19881 * emacs-lisp/package.el: Use defstruct.
19882 (package-desc): New, main struct.
19883 (package--bi-desc, package--ac-desc): New structs, used to describe the
19884 format in external files.
19885 (package-desc-vers): Replace with package-desc-version accessor.
19886 (package-desc-doc): Replace with package-desc-summary accessor.
19887 (package-activate-1): Remove `package' arg since the pkg-vec now
19889 (define-package): Use package-desc-from-define.
19890 (package-unpack-single): Change file-name arg to be a symbol.
19891 (package--add-to-archive-contents): Use package-desc-create and new
19892 accessor functions to package--ac-desc.
19893 (package-buffer-info, package-tar-file-info): Return a package-desc.
19894 (package-install-from-buffer): Remove `type' argument. Change pkg-info
19895 arg to be a package-desc.
19896 (package-install-file): Adjust accordingly. Use \' to match EOS.
19897 (package--from-builtin): New function.
19898 (describe-package-1, package-menu--generate): Use it.
19899 (package--make-autoloads-and-compile): Change name arg to be a symbol.
19900 (package-generate-autoloads): Idem and return the name of the file.
19901 * emacs-lisp/package-x.el (package-upload-buffer-internal):
19902 Change pkg-info arg to be a package-desc.
19903 Use package-make-ac-desc.
19904 (package-upload-file): Use \' to match EOS.
19905 * finder.el (finder-compile-keywords): Use package-make-builtin.
19907 2013-06-11 Stefan Monnier <monnier@iro.umontreal.ca>
19909 * vc/vc.el (vc-deduce-fileset): Change error message.
19910 (vc-read-backend): New function.
19911 (vc-next-action): Use it.
19913 * subr.el (function-arity): Remove (mistakenly added) (bug#14590).
19915 * progmodes/prolog.el (prolog-make-keywords-regexp): Remove.
19916 (prolog-font-lock-keywords): Use regexp-opt instead.
19917 Don't manually highlight strings.
19918 (prolog-mode-variables): Simplify comment-start-skip.
19919 (prolog-consult-compile): Use display-buffer. Remove unused old-filter.
19921 * emacs-lisp/generic.el (generic--normalise-comments)
19922 (generic-set-comment-syntax, generic-set-comment-vars): New functions.
19923 (generic-mode-set-comments): Use them.
19924 (generic-bracket-support): Use setq-local.
19925 (generic-make-keywords-list): Declare obsolete.
19927 2013-06-11 Glenn Morris <rgm@gnu.org>
19929 * emacs-lisp/lisp-mode.el (lisp-mode-variables):
19930 Prettify after setting font-lock-defaults. (Bug#14574)
19932 2013-06-11 Juanma Barranquero <lekktu@gmail.com>
19934 * replace.el (query-replace, occur-read-regexp-defaults-function)
19936 * subr.el (declare-function, number-sequence, local-set-key)
19937 (substitute-key-definition, locate-user-emacs-file)
19938 (with-silent-modifications, split-string, eval-after-load):
19939 Fix typos, remove unneeded backslashes and reflow some docstrings.
19941 2013-06-11 Stefan Monnier <monnier@iro.umontreal.ca>
19943 * international/mule-conf.el (file-coding-system-alist): Use utf-8 as
19944 default for Elisp files.
19946 2013-06-11 Glenn Morris <rgm@gnu.org>
19948 * vc/log-view.el (log-view-mode-map): Inherit from special-mode-map,
19949 although define-derived-mode was doing this anyway. (Bug#14583)
19951 2013-06-10 Juanma Barranquero <lekktu@gmail.com>
19953 * allout.el (allout-encryption-plaintext-sanitization-regexps):
19954 Fix make-variable-buffer-local call to refer to the correct variable.
19956 2013-06-10 Aidan Gauland <aidalgol@amuri.net>
19958 * eshell/em-term.el (eshell-visual-commands)
19959 (eshell-visual-subcommands, eshell-visual-options):
19960 Add summary line to docstrings. Add cross-references.
19962 2013-06-10 Glenn Morris <rgm@gnu.org>
19964 * epa.el (epa-read-file-name): New function. (Bug#14510)
19965 (epa-decrypt-file): Make plain-file optional. Use epa-read-file-name.
19967 2013-06-09 Aidan Gauland <aidalgol@amuri.net>
19969 * eshell/em-term.el (eshell-visual-command-p): Fix bug that caused
19970 output redirection to be ignored with visual commands.
19972 2013-06-09 Aidan Gauland <aidalgol@amuri.net>
19974 * eshell/em-term.el (eshell-visual-command-p): New function.
19975 (eshell-term-initialize): Move long lambda to separate function
19976 eshell-visual-command-p.
19977 * eshell/em-dirs.el (eshell-dirs-initialize):
19978 * eshell/em-script.el (eshell-script-initialize):
19979 Add missing #' to lambda.
19981 2013-06-08 Leo Liu <sdl.web@gmail.com>
19983 * progmodes/octave.el (octave-add-log-current-defun): New function.
19984 (octave-mode): Set add-log-current-defun-function.
19985 (octave-goto-function-definition): Do not move point if not found.
19986 (octave-find-definition): Enhance to try subfunctions first.
19988 2013-06-08 Glenn Morris <rgm@gnu.org>
19990 * emacs-lisp/bytecomp.el (byte-compile-char-before)
19991 (byte-compile-backward-char, byte-compile-backward-word):
19992 Improve previous change, to handle non-explicit nil.
19994 2013-06-07 Stefan Monnier <monnier@iro.umontreal.ca>
19996 * emacs-lisp/smie.el: Improve show-paren-mode behavior.
19997 (smie--opener/closer-at-point): New function.
19998 (smie--matching-block-data): Use it. Don't match from right after an
19999 opener or right before a closer. Obey smie-blink-matching-inners.
20000 Don't signal a mismatch for repeated inners like "switch..case..case".
20002 2013-06-07 Leo Liu <sdl.web@gmail.com>
20004 * progmodes/octave.el (octave-mode): Set comment-use-global-state
20006 (octave-function-header-regexp): Fix. (Bug#14570)
20007 (octave-help-mode-finish-hook, octave-help-mode-finish):
20008 Remove. Just use temp-buffer-show-hook.
20010 * newcomment.el (comment-search-backward): Revert last change.
20013 * emacs-lisp/smie.el (smie--matching-block-data): Minor simplification.
20015 2013-06-07 Eli Zaretskii <eliz@gnu.org>
20017 * Makefile.in (TAGS TAGS-LISP): Pass the (long) list of *.el files
20018 through xargs, to avoid failure due to MS-Windows limitations on
20019 command-line length.
20021 2013-06-06 Glenn Morris <rgm@gnu.org>
20023 * font-lock.el (lisp-font-lock-keywords-2):
20024 Treat user-error like error.
20026 * emacs-lisp/bytecomp.el (byte-compile-char-before)
20027 (byte-compile-backward-char, byte-compile-backward-word):
20028 Handle explicit nil arguments. (Bug#14565)
20030 2013-06-05 Alan Mackenzie <acm@muc.de>
20032 * isearch.el (isearch-allow-prefix): New user option.
20033 (isearch-other-meta-char): Don't exit isearch when a prefix
20034 argument is typed whilst `isearch-allow-prefix' is non-nil.
20037 2013-06-05 Stefan Monnier <monnier@iro.umontreal.ca>
20039 * autorevert.el (auto-revert-notify-handler): Use memq.
20040 Hide assertion failure.
20042 * skeleton.el: Use cl-lib.
20043 (skeleton-further-elements): Use defvar-local.
20044 (skeleton-insert): Use cl-progv.
20046 2013-06-05 Teodor Zlatanov <tzz@lifelogs.com>
20048 * progmodes/prog-mode.el (prog-prettify-symbols)
20049 (prog-prettify-install): Update docstrings.
20051 2013-06-05 Stefan Monnier <monnier@iro.umontreal.ca>
20053 * simple.el: Move all the prog-mode code to prog-mode.el.
20054 * progmodes/prog-mode.el: New file.
20055 * loadup.el: Add prog-mode.el.
20057 2013-06-05 Teodor Zlatanov <tzz@lifelogs.com>
20059 * simple.el (prog-prettify-symbols): Add version.
20060 (prog-prettify-install): Add convenience function to prettify symbols.
20062 * progmodes/perl-mode.el (perl--augmented-font-lock-keywords)
20063 (perl--augmented-font-lock-keywords-1)
20064 (perl--augmented-font-lock-keywords-2, perl-mode): Remove unneeded
20065 variables and use it.
20067 * progmodes/cfengine.el (cfengine3--augmented-font-lock-keywords)
20068 (cfengine3-mode): Remove unneeded variable and use it.
20070 * emacs-lisp/lisp-mode.el (lisp--augmented-font-lock-keywords)
20071 (lisp--augmented-font-lock-keywords-1)
20072 (lisp--augmented-font-lock-keywords-2, lisp-mode-variables):
20073 Remove unneeded variables and use it.
20075 2013-06-05 João Távora <joaotavora@gmail.com>
20077 * net/tls.el (open-tls-stream): Remove unneeded buffer contents up
20078 to point when opening the connection. (Bug#14380)
20080 2013-06-05 Stefan Monnier <monnier@iro.umontreal.ca>
20082 * subr.el (load-history-regexp, load-history-filename-element)
20083 (eval-after-load, after-load-functions, do-after-load-evaluation)
20084 (eval-next-after-load, display-delayed-warnings)
20085 (collapse-delayed-warnings, delayed-warnings-hook): Move after the
20086 definition of save-match-data.
20087 (overriding-local-map): Remove accidental obsolescence declaration.
20089 * emacs-lisp/edebug.el (edebug-result): Move before first use.
20091 2013-06-05 Teodor Zlatanov <tzz@lifelogs.com>
20093 Generalize symbol prettify support to prog-mode and implement it
20094 for perl-mode, cfengine3-mode, and emacs-lisp-mode.
20095 * simple.el (prog-prettify-symbols-alist, prog-prettify-symbols)
20096 (prog--prettify-font-lock-compose-symbol)
20097 (prog-prettify-font-lock-symbols-keywords): New variables and
20098 functions to support symbol prettification.
20099 * emacs-lisp/lisp-mode.el (lisp--augmented-font-lock-keywords)
20100 (lisp--augmented-font-lock-keywords-1)
20101 (lisp--augmented-font-lock-keywords-2, lisp-mode-variables)
20102 (lisp--prettify-symbols-alist): Implement prettify of lambda.
20103 * progmodes/cfengine.el (cfengine3--augmented-font-lock-keywords)
20104 (cfengine3--prettify-symbols-alist, cfengine3-mode):
20105 Implement prettify of -> => :: strings.
20106 * progmodes/perl-mode.el (perl-prettify-symbols)
20107 (perl--font-lock-compose-symbol)
20108 (perl--font-lock-symbols-keywords): Move to prog-mode.
20109 (perl--prettify-symbols-alist): Prettify -> => :: strings.
20110 (perl-font-lock-keywords-1)
20111 (perl-font-lock-keywords-2): Remove explicit prettify support.
20112 (perl--augmented-font-lock-keywords)
20113 (perl--augmented-font-lock-keywords-1)
20114 (perl--augmented-font-lock-keywords-2, perl-mode):
20115 Implement prettify support.
20117 2013-06-05 Leo Liu <sdl.web@gmail.com>
20119 Re-implement SMIE matching block highlight using
20120 show-paren-data-function. (Bug#14395)
20121 * emacs-lisp/smie.el (smie-matching-block-highlight)
20122 (smie--highlight-matching-block-overlay)
20123 (smie--highlight-matching-block-lastpos)
20124 (smie-highlight-matching-block)
20125 (smie-highlight-matching-block-mode): Remove.
20126 (smie--matching-block-data-cache): New variable.
20127 (smie--matching-block-data): New function.
20128 (smie-setup): Use smie--matching-block-data for
20129 show-paren-data-function.
20131 * progmodes/octave.el (octave-mode-menu): Fix.
20132 (octave-find-definition): Skip garbage lines.
20134 2013-06-05 Stefan Monnier <monnier@iro.umontreal.ca>
20136 Fix compilation error with simultaneous dynamic+lexical scoping.
20137 Add warning when a defvar appears after the first let-binding.
20138 * emacs-lisp/bytecomp.el (byte-compile-lexical-variables): New var.
20139 (byte-compile-close-variables): Initialize it.
20140 (byte-compile--declare-var): New function.
20141 (byte-compile-file-form-defvar)
20142 (byte-compile-file-form-define-abbrev-table)
20143 (byte-compile-file-form-custom-declare-variable): Use it.
20144 (byte-compile-make-lambda-lexenv): Change the argument. Simplify.
20145 (byte-compile-lambda): Share call to byte-compile-arglist-vars.
20146 (byte-compile-bind): Handle dynamic bindings that shadow
20148 (byte-compile-unbind): Make arg non-optional.
20149 (byte-compile-let): Simplify.
20150 * emacs-lisp/cconv.el (byte-compile-lexical-variables): Declare var.
20151 (cconv--analyse-function, cconv-analyse-form): Populate it.
20152 Protect byte-compile-bound-variables to limit the scope of defvars.
20153 (cconv-analyse-form): Add missing rule for (defvar <foo>).
20154 Remove unneeded rule for `declare'.
20156 * emacs-lisp/cl-macs.el (cl--compiler-macro-adjoin): Use macroexp-let2
20157 so as to avoid depending on cl-adjoin at run-time.
20158 * emacs-lisp/cl-lib.el (cl-pushnew): Use backquotes.
20160 * emacs-lisp/macroexp.el (macroexp--compiling-p): New function.
20161 (macroexp--warn-and-return): Use it.
20163 2013-06-05 Stefan Monnier <monnier@iro.umontreal.ca>
20165 * subr.el: Convert to lexical binding.
20166 (overriding-local-map): Make obsolete.
20167 (add-to-list): Doc fix. Add compiler macro.
20168 (read-key): Swap values of local maps.
20170 2013-06-05 Leo Liu <sdl.web@gmail.com>
20172 * eshell/esh-mode.el (eshell-mode): Fix key bindings.
20174 2013-06-04 Leo Liu <sdl.web@gmail.com>
20176 * progmodes/compile.el (compile-goto-error): Add optional arg NOMSG.
20177 (compilation-auto-jump): Suppress the "Mark set" message to give
20178 way to exit message.
20180 2013-06-04 Alan Mackenzie <acm@muc.de>
20182 Remove faulty optimization from indentation calculation.
20183 * progmodes/cc-engine.el (c-guess-basic-syntax): Don't calculate
20184 search limit based on 2000 characters back from indent-point.
20186 2013-06-03 Tassilo Horn <tsdh@gnu.org>
20188 * eshell/em-term.el (cl-lib): Require `cl-lib'.
20190 2013-06-03 Stefan Monnier <monnier@iro.umontreal.ca>
20192 * emacs-lisp/lisp.el: Use lexical-binding.
20193 (lisp--local-variables-1, lisp--local-variables): New functions.
20194 (lisp--local-variables-completion-table): New var.
20195 (lisp-completion-at-point): Use it complete let-bound vars.
20197 * emacs-lisp/lisp-mode.el (eval-sexp-add-defvars): Expand macros
20198 eagerly (bug#14422).
20200 2013-06-03 Michael Albinus <michael.albinus@gmx.de>
20202 * autorevert.el (auto-revert-notify-enabled)
20203 (auto-revert-notify-rm-watch, auto-revert-notify-add-watch)
20204 (auto-revert-notify-event-p, auto-revert-notify-event-file-name)
20205 (auto-revert-notify-handler): Handle also gfilenotify.
20207 * subr.el (file-notify-handle-event): New defun. Replacing ...
20208 (inotify-event-p, inotify-handle-event, w32notify-handle-event):
20211 2013-06-03 Juri Linkov <juri@jurta.org>
20213 * bindings.el (search-map): Bind `highlight-symbol-at-point' to
20214 `M-s h .'. (Bug#14427)
20216 * hi-lock.el (highlight-symbol-at-point): New alias for the new
20217 command `hi-lock-face-symbol-at-point'.
20218 (hi-lock-face-symbol-at-point): New command.
20219 (hi-lock-map): Bind `highlight-symbol-at-point' to `C-x w .'.
20220 (hi-lock-menu): Add `highlight-symbol-at-point'.
20221 (hi-lock-mode): Doc fix.
20223 * isearch.el (isearch-forward-symbol-at-point): New command.
20224 (search-map): Bind `isearch-forward-symbol-at-point' to `M-s .'.
20225 (isearch-highlight-regexp): Add a regexp which matches
20226 words/symbols for word/symbol mode.
20228 * subr.el (find-tag-default-bounds): New function with the body
20229 mostly moved from `find-tag-default'.
20230 (find-tag-default): Move most code to `find-tag-default-bounds',
20231 call it and apply `buffer-substring-no-properties' afterwards.
20233 2013-06-03 Tassilo Horn <tsdh@gnu.org>
20235 * eshell/em-term.el (eshell-term-initialize):
20236 Use `cl-intersection' rather than `intersection'.
20238 2013-06-02 Xue Fuqiao <xfq.free@gmail.com>
20240 * vc/log-view.el: Doc fix.
20241 (log-view-mode-map): Copy keymap from `special-mode-map'.
20243 2013-06-02 Eric Ludlam <zappo@gnu.org>
20245 * emacs-lisp/eieio.el (eieio--defalias, eieio-hook)
20246 (eieio-error-unsupported-class-tags, eieio-skip-typecheck)
20247 (eieio-optimize-primary-methods-flag, eieio-initializing-object)
20248 (eieio-unbound, eieio-default-superclass)
20249 (eieio--define-field-accessors, method-static, method-before)
20250 (method-primary, method-after, method-num-lists)
20251 (method-generic-before, method-generic-primary)
20252 (method-generic-after, method-num-slots)
20253 (eieio-specialized-key-to-generic-key)
20254 (eieio--check-type, class-v, class-p)
20255 (eieio-class-name, define-obsolete-function-alias)
20256 (eieio-class-parents-fast, eieio-class-children-fast)
20257 (same-class-fast-p, class-constructor, generic-p)
20258 (generic-primary-only-p, generic-primary-only-one-p)
20259 (class-option-assoc, class-option, eieio-object-p)
20260 (class-abstract-p, class-method-invocation-order)
20261 (eieio-defclass-autoload-map, eieio-defclass-autoload)
20262 (eieio-class-un-autoload, eieio-defclass)
20263 (eieio-eval-default-p, eieio-perform-slot-validation-for-default)
20264 (eieio-add-new-slot, eieio-copy-parents-into-subclass)
20265 (eieio--defgeneric-init-form, eieio-defgeneric-form)
20266 (eieio-defgeneric-reset-generic-form)
20267 (eieio-defgeneric-form-primary-only)
20268 (eieio-defgeneric-reset-generic-form-primary-only)
20269 (eieio-defgeneric-form-primary-only-one)
20270 (eieio-defgeneric-reset-generic-form-primary-only-one)
20271 (eieio-unbind-method-implementations)
20272 (eieio--defmethod, eieio--typep)
20273 (eieio-perform-slot-validation, eieio-validate-slot-value)
20274 (eieio-validate-class-slot-value, eieio-barf-if-slot-unbound)
20275 (eieio-oref, eieio-oref-default, eieio-default-eval-maybe)
20276 (eieio-oset, eieio-oset-default, eieio-slot-originating-class-p)
20277 (eieio-slot-name-index, eieio-class-slot-name-index)
20278 (eieio-set-defaults, eieio-initarg-to-attribute)
20279 (eieio-attribute-to-initarg, eieio-c3-candidate)
20280 (eieio-c3-merge-lists, eieio-class-precedence-c3)
20281 (eieio-class-precedence-dfs, eieio-class-precedence-bfs)
20282 (eieio-class-precedence-list, eieio-generic-call-methodname)
20283 (eieio-generic-call-arglst, eieio-generic-call-key)
20284 (eieio-generic-call-next-method-list)
20285 (eieio-pre-method-execution-functions, eieio-generic-call)
20286 (eieio-generic-call-primary-only, eieiomt-method-list)
20287 (eieiomt-optimizing-obarray, eieiomt-install)
20288 (eieiomt-add, eieiomt-next, eieiomt-sym-optimize)
20289 (eieio-generic-form, eieio-defmethod, make-obsolete)
20290 (eieio-defgeneric, make-obsolete): Move to eieio-core.el.
20291 (defclass): Remove `eval-and-compile' from macro.
20292 (call-next-method, shared-initialize): Instead of using
20293 `scoped-class' variable, use new eieio--scoped-class, and
20294 eieio--with-scoped-class.
20295 (initialize-instance): Rename local variable 'scoped-class' to
20296 'this-class' to remove ambiguitity from old global.
20298 * emacs-lisp/eieio-core.el: New file. Derived from key parts of
20300 (eieio--scoped-class-stack): New variable.
20301 (eieio--scoped-class): New fcn.
20302 (eieio--with-scoped-class): New scoping macro.
20303 (eieio-defclass): Use pushnew instead of add-to-list.
20304 (eieio-defgeneric-form-primary-only-one, eieio-oset-default)
20305 (eieio-slot-name-index, eieio-set-defaults, eieio-generic-call)
20306 (eieio-generic-call-primary-only, eieiomt-add): Instead of using
20307 `scoped-class' variable, use new eieio--scoped-class, and
20308 eieio--with-scoped-class.
20310 * emacs-lisp/eieio-base.el (cl-lib): Require during compile.
20312 2013-06-02 Tassilo Horn <tsdh@gnu.org>
20314 * eshell/esh-ext.el (eshell-external-command): Pass args to
20315 `eshell-find-interpreter'.
20316 (eshell-find-interpreter): Add new second parameter ARGS.
20318 * eshell/em-script.el (eshell-script-initialize): Add second arg
20319 to the function added as MATCH to `eshell-interpreter-alist'.
20321 * eshell/em-dirs.el (eshell-dirs-initialize): Add second arg to
20322 the function added as MATCH to `eshell-interpreter-alist'.
20324 * eshell/em-term.el (eshell-visual-subcommands): New defcustom.
20325 (eshell-visual-options): New defcustom.
20326 (eshell-escape-control-x): Adapt docstring.
20327 (eshell-term-initialize): Test `eshell-visual-subcommands' and
20328 `eshell-visual-options' in addition to `eshell-visual-commands'.
20329 (eshell-exec-visual): Pass args to `eshell-find-interpreter'.
20331 2013-06-01 Fabián Ezequiel Gallina <fgallina@gnu.org>
20333 * progmodes/python.el (python-indent-block-enders): Add break,
20334 continue and raise keywords.
20336 2013-06-01 Glenn Morris <rgm@gnu.org>
20338 * pcmpl-gnu.el (pcomplete/tar): Check obsolete variable is bound.
20340 Plain (f)boundp silences compilation warnings since Emacs 22.1.
20341 * progmodes/cc-cmds.el (delete-forward-p):
20342 * progmodes/cc-defs.el (buffer-syntactic-context-depth):
20343 * progmodes/cc-engine.el (buffer-syntactic-context):
20344 * progmodes/cc-fonts.el (face-property-instance):
20345 * progmodes/cc-mode.el (set-keymap-parents):
20346 * progmodes/cc-vars.el (get-char-table): No need for cc-bytecomp-defun.
20347 * progmodes/cc-defs.el (c-set-region-active, c-beginning-of-defun-1)
20348 * progmodes/cc-mode.el (c-make-inherited-keymap): Use plain fboundp.
20349 * progmodes/cc-defs.el (zmacs-region-stays, zmacs-regions)
20350 (lookup-syntax-properties): Remove unecessary cc-bytecomp-defvar.
20352 * progmodes/cc-vars.el (other): Emacs has this widget since
20353 at least 21.1, so don't (re)define it.
20355 * eshell/em-cmpl.el (eshell-cmpl-initialize):
20356 Replace the obsolete alias pcomplete-arg-quote-list.
20358 2013-06-01 Leo Liu <sdl.web@gmail.com>
20360 * progmodes/octave.el (octave-mode-syntax-table): Give `.'
20361 punctuation syntax.
20362 (inferior-octave-minimal-columns)
20363 (inferior-octave-last-column-width): New variables.
20364 (inferior-octave-track-window-width-change): New function.
20365 (inferior-octave-mode): Adjust column width so that Octave output,
20366 for example from 'ls', can fit into the window nicely.
20368 2013-05-31 Dmitry Gutov <dgutov@yandex.ru>
20370 * progmodes/ruby-mode.el (ruby-syntax-expansion-allowed-p):
20371 Highlight expansions inside regexp literals.
20373 2013-05-31 Glenn Morris <rgm@gnu.org>
20375 * obsolete/sym-comp.el (symbol-complete):
20376 Replace obsolete completion-annotate-function.
20378 * progmodes/cc-vars.el (c-make-macro-with-semi-re): Silence compiler.
20380 2013-05-31 Dmitry Gutov <dgutov@yandex.ru>
20382 * progmodes/ruby-mode.el (ruby-syntax-expansion-allowed-p):
20383 New function, checks if point is inside a literal that allows
20384 expression expansion.
20385 (ruby-syntax-propertize-expansion): Use it.
20386 (ruby-syntax-propertize-function): Bind `case-fold-search' to nil
20389 2013-05-30 Juri Linkov <juri@jurta.org>
20391 * isearch.el (isearch-mode-map): Bind `isearch-toggle-invisible'
20393 (isearch-invisible): New variable.
20394 (isearch-forward): Doc fix.
20395 (isearch-mode): Set `isearch-invisible'
20396 to the value of `search-invisible'.
20397 (isearch-toggle-case-fold): Doc fix.
20398 (isearch-toggle-invisible): New command.
20399 (isearch-query-replace): Let-bind `search-invisible'
20400 to the value of `isearch-invisible'.
20401 (isearch-search): Use `isearch-invisible' instead of
20402 `search-invisible'. Let-bind `search-invisible'
20403 to the value of `isearch-invisible'. (Bug#11378)
20405 2013-05-30 Juri Linkov <juri@jurta.org>
20407 * replace.el (perform-replace): Avoid `isearch-range-invisible'
20408 call when `query-flag' is nil and `search-invisible' is non-nil.
20411 2013-05-30 Glenn Morris <rgm@gnu.org>
20413 * progmodes/gdb-mi.el (gdb-wait-for-pending): Fix typo.
20415 * progmodes/cc-bytecomp.el (cc-bytecomp-noruntime-functions): New.
20416 (cc-require): Suppress spurious "noruntime" warnings.
20417 (cc-require-when-compile): Use fboundp, for sake of compiler.
20419 * progmodes/cc-mode.el: Move load of cc-vars before that of
20420 cc-langs (which in turn loads cc-vars), to quieten compiler.
20422 2013-05-30 Stefan Monnier <monnier@iro.umontreal.ca>
20424 * paren.el: Simplify the code.
20425 (show-paren-mode): Always start the timer.
20426 (show-paren--idle-timer): Rename from show-paren-idle-timer.
20427 (show-paren--overlay, show-paren--overlay-1): Rename from
20428 show-paren-overlay and show-paren-overlay-1, and initialize to an
20429 overlay rather than to nil.
20430 (show-paren-function): Misc cleanup and simplifications.
20432 2013-05-30 Stefan Monnier <monnier@iro.umontreal.ca>
20434 * paren.el (show-paren-data-function): New hook.
20435 (show-paren--default): New function, extracted from show-paren-function.
20436 (show-paren-function): Use show-paren-data-function.
20438 2013-05-30 Glenn Morris <rgm@gnu.org>
20440 * ielm.el (ielm-map, ielm-complete-symbol):
20441 Use completion-at-point rather than obsolete functions.
20442 (inferior-emacs-lisp-mode): Doc fix.
20443 Set completion-at-point-functions, rather than
20444 comint-dynamic-complete-functions.
20446 * eshell/em-cmpl.el (eshell-complete-lisp-symbol): New function.
20447 (eshell-cmpl-initialize, eshell-complete-parse-arguments):
20448 Replace obsolete lisp-complete-symbol with eshell-complete-lisp-symbol.
20450 * image.el (image-animated-p): Tweak definition.
20452 * net/rlogin.el (rlogin-program, rlogin-explicit-args): Default to ssh.
20453 (rlogin-process-connection-type): Tweak default. Add set-after.
20454 (rlogin-host): Doc fix.
20455 (rlogin): Tweak prompt.
20456 (rlogin-tab-or-complete): Use completion-at-point rather than alias.
20458 * net/net-utils.el (nslookup-mode-map, ftp-mode-map):
20459 * progmodes/tcl.el (inferior-tcl-mode-map):
20460 Use completion-at-point rather than obsolete alias.
20462 * emacs-lisp/eieio.el (eieio-eval-default-p): Move before use.
20464 * minibuffer.el (read-file-name-completion-ignore-case):
20465 Move before completion--in-region, for eager macro expansion.
20467 2013-05-29 Juri Linkov <juri@jurta.org>
20469 * replace.el (occur-engine): Rename `globalcount' to `global-lines'
20470 for total count of matching lines. Add `global-matches' for total
20471 count of matches. Rename `matches' to `lines' for count of
20472 matching lines. Add `matches' for count of matches.
20473 Rename `lines' to `curr-line' for line count. Rename `prev-lines'
20474 to `prev-line' for line number of prev match endpt.
20475 Increment `matches' for every match. Print the number of
20476 matching lines in the header.
20477 (occur-context-lines): Rename `lines' to `curr-line'.
20478 Rename `prev-lines' to `prev-line'. (Bug#14017)
20480 2013-05-29 Juri Linkov <juri@jurta.org>
20482 * replace.el (perform-replace): Add `skip-read-only-count',
20483 `skip-filtered-count', `skip-invisible-count' let-bound to 0.
20484 Increment them for corresponding conditions and report the number
20485 of skipped occurrences in the final message. (Bug#11746)
20486 (query-replace, query-replace-regexp, query-replace-regexp-eval)
20487 (replace-string, replace-regexp): Doc fix.
20489 2013-05-29 Stefan Monnier <monnier@iro.umontreal.ca>
20491 * emacs-lisp/trace.el (trace--read-args): Provide a default.
20493 * emacs-lisp/lisp-mode.el (lisp-mode-shared-map): Inherit from
20494 prog-mode-map (bug#14504).
20496 2013-05-29 Leo Liu <sdl.web@gmail.com>
20498 * progmodes/octave.el (octave-indent-comment): Tweak regexps.
20499 (octave-help): Small simplification.
20501 * emacs-lisp/smie.el (smie-highlight-matching-block): Always turn
20502 off the highlight first.
20504 2013-05-29 Glenn Morris <rgm@gnu.org>
20506 * progmodes/idlwave.el (idlwave-concatenate-rinfo-lists):
20507 Handle idlwave-last-system-routine-info-cons-cell being nil.
20509 * progmodes/idlwave.el (idlwave-scan-user-lib-files)
20510 (idlwave-write-paths): Simplify via with-temp-buffer.
20512 * emulation/cua-gmrk.el: Also load cua-base, cua-rect at run time.
20513 * emulation/cua-rect.el: Also load cua-base at run time.
20515 * progmodes/cperl-mode.el (imenu-choose-buffer-index)
20516 (file-of-tag, etags-snarf-tag, etags-goto-tag-location): Declare.
20517 (cperl-imenu-on-info): Require imenu.
20519 2013-05-28 Alan Mackenzie <acm@muc.de>
20521 Handle "capitalised keywords" correctly.
20522 * progmodes/cc-mode.el (c-after-change): Bind case-fold-search to nil.
20524 2013-05-28 Aidan Gauland <aidalgol@amuri.net>
20526 * eshell/em-unix.el: Add -r option to cp.
20528 2013-05-28 Glenn Morris <rgm@gnu.org>
20530 * vc/vc-arch.el (vc-exec-after): Declare.
20531 (vc-switches): Autoload.
20532 * vc/vc-bzr.el: No need to require vc when compiling.
20533 (vc-exec-after, vc-set-async-update, vc-default-dir-printer)
20534 (vc-resynch-buffer, vc-dir-refresh): Declare.
20535 (vc-setup-buffer, vc-switches): Autoload.
20536 * vc/vc-cvs.el (vc-exec-after, vc-coding-system-for-diff)
20537 (vc-resynch-buffer): Declare.
20538 (vc-switches, vc-default-revert, vc-version-backup-file): Autoload.
20539 * vc/vc-dir.el (desktop-missing-file-warning): Declare.
20540 * vc/vc-git.el (vc-exec-after, vc-set-async-update)
20541 (grep-read-regexp, grep-read-files, grep-expand-template)
20542 (vc-dir-refresh): Declare.
20543 (vc-setup-buffer, vc-switches, vc-resynch-buffer): Autoload.
20544 * vc/vc-hg.el (vc-exec-after, vc-set-async-update): Declare.
20545 (vc-setup-buffer, vc-switches, vc-do-async-command): Autoload.
20546 * vc/vc-mtn.el (vc-exec-after): Declare.
20547 (vc-switches): Autoload.
20548 * vc/vc-rcs.el (vc-expand-dirs, vc-switches)
20549 (vc-tag-precondition, vc-buffer-sync, vc-rename-master): Autoload.
20550 (vc-file-tree-walk): Declare.
20551 * vc/vc-sccs.el (vc-file-tree-walk): Declare.
20552 (vc-expand-dirs, vc-switches, vc-setup-buffer, vc-delistify)
20553 (vc-tag-precondition, vc-rename-master): Autoload.
20554 * vc/vc-svn.el (vc-exec-after): Declare.
20555 (vc-switches, vc-setup-buffer): Autoload.
20556 * obsolete/vc-mcvs.el (vc-checkout, vc-switches, vc-default-revert):
20558 (vc-resynch-buffer): Declare.
20560 * obsolete/fast-lock.el (byte-compile-warnings):
20561 Don't warn about obsolete features in this obsolete file.
20563 * progmodes/cc-vars.el (c-macro-names-with-semicolon):
20564 Move definition before use.
20566 * play/dunnet.el (byte-compile-warnings): Don't disable them all.
20567 (dun-unix-verbs): Remove dun-zippy.
20568 (dun-zippy): Remove function.
20570 * emacs-lisp/bytecomp.el (byte-compile-warnings): Doc fix.
20572 2013-05-27 Juri Linkov <juri@jurta.org>
20574 * replace.el (replace-search): New function with code moved out
20575 from `perform-replace'.
20576 (replace-highlight, replace-dehighlight): Move function definitions
20577 up closer to `replace-search'. (Bug#11746)
20579 2013-05-27 Juri Linkov <juri@jurta.org>
20581 * replace.el (perform-replace): Ignore invisible matches.
20582 In addition to checking `query-replace-skip-read-only', also
20583 filter out matches by calling `run-hook-with-args-until-failure'
20584 on `isearch-filter-predicates', and also check `search-invisible'
20585 for t or call `isearch-range-invisible'.
20586 (replace-dehighlight): Call `isearch-clean-overlays'. (Bug#11746)
20588 2013-05-27 Juri Linkov <juri@jurta.org>
20590 * isearch.el (isearch-filter-predicates): Rename from
20591 `isearch-filter-predicate'. Doc fix. (Bug#11378)
20592 (isearch-message-prefix): Display text from the property
20593 `isearch-message-prefix' of the currently active filters.
20594 (isearch-search): Don't compare `isearch-filter-predicate' with
20595 `isearch-filter-visible'. Call `run-hook-with-args-until-failure'
20596 on `isearch-filter-predicates'. Also check `search-invisible' for t
20597 or call `isearch-range-invisible'.
20598 (isearch-filter-visible): Make obsolete.
20599 (isearch-lazy-highlight-search):
20600 Call `run-hook-with-args-until-failure' on
20601 `isearch-filter-predicates' and use `isearch-range-invisible'.
20603 * info.el (Info-search): Call `run-hook-with-args-until-failure' on
20604 `isearch-filter-predicates' instead of `funcall'ing
20605 `isearch-filter-predicate'.
20606 (Info-mode): Set `Info-isearch-filter' to
20607 `isearch-filter-predicates' instead of `isearch-filter-predicate'.
20609 * dired-aux.el (dired-isearch-filter-predicate-orig):
20611 (dired-isearch-filenames-toggle, dired-isearch-filenames-setup)
20612 (dired-isearch-filenames-end): Add and remove
20613 `dired-isearch-filter-filenames' in `isearch-filter-predicates'
20614 instead of changing the value of `isearch-filter-predicate'.
20615 Rebind `dired-isearch-filenames-toggle' from "\M-sf" to "\M-sff".
20616 (dired-isearch-filter-filenames): Don't use `isearch-filter-visible'.
20617 Put property `isearch-message-prefix' to "filename " on
20618 `dired-isearch-filter-filenames'.
20620 * wdired.el (wdired-change-to-wdired-mode):
20621 Add `isearch-filter-predicates' to `wdired-isearch-filter-read-only'
20622 locally instead of changing `isearch-filter-predicate'.
20623 (wdired-isearch-filter-read-only): Don't use `isearch-filter-visible'.
20625 2013-05-27 Dmitry Gutov <dgutov@yandex.ru>
20627 * vc/vc-git.el (vc-git-working-revision): When in detached mode,
20628 return the commit hash (Bug#14459). Also set the
20629 `vc-git-detached' property.
20630 (vc-git--rev-parse): Extract from `vc-git-previous-revision'.
20631 (vc-git-mode-line-string): Use the same help-echo format whether
20632 in detached mode or not, because we know the actual revision now.
20633 When in detached mode, shorten the revision to 7 chars.
20635 2013-05-27 Stefan Monnier <monnier@iro.umontreal.ca>
20637 * emacs-lisp/easy-mmode.el (define-minor-mode):
20638 * emacs-lisp/derived.el (define-derived-mode): Always defvar the
20639 mode hook and provide a docstring.
20641 2013-05-27 Alan Mackenzie <acm@muc.de>
20643 Remove spurious syntax-table text properties inserted by C-y.
20644 * progmodes/cc-mode.el (c-after-change): Also clear hard
20645 syntax-table property with value nil.
20647 2013-05-27 Michael Albinus <michael.albinus@gmx.de>
20649 * net/dbus.el (dbus-call-method): Let-bind `inhibit-redisplay'
20650 when reading the events; the buffer layout shall not be changed.
20652 2013-05-27 Leo Liu <sdl.web@gmail.com>
20654 * progmodes/octave.el (inferior-octave-directory-tracker-resync):
20656 (inferior-octave-directory-tracker): Automatically re-sync
20658 (octave-help): Improve handling of 'See also'.
20660 2013-05-27 Stefan Monnier <monnier@iro.umontreal.ca>
20662 * doc-view.el: Minor naming convention tweaks.
20663 (desktop-buffer-mode-handlers): Don't add to it repeatedly.
20665 * image-mode.el (image-mode-reapply-winprops): Call image-mode-winprops
20666 even if there's no `display' property yet (bug#14435).
20668 2013-05-25 Eli Zaretskii <eliz@gnu.org>
20670 * subr.el (unmsys--file-name): Rename from reveal-filename.
20672 * Makefile.in (custom-deps, finder-data, autoloads)
20673 ($(MH_E_DIR)/mh-loaddefs.el, $(TRAMP_DIR)/tramp-loaddefs.el)
20674 ($(CAL_DIR)/cal-loaddefs.el, $(CAL_DIR)/diary-loaddefs.el)
20675 ($(CAL_DIR)/hol-loaddefs.el): All users changed.
20677 2013-05-25 Stefan Monnier <monnier@iro.umontreal.ca>
20679 * emacs-lisp/lisp.el (lisp-completion-at-point): Don't use
20680 error-completion on the first 2 args of condition-case (bug#14446).
20683 2013-05-25 Leo Liu <sdl.web@gmail.com>
20685 * comint.el (comint-previous-matching-input): Do not flood the
20686 *Messages* buffer with trivial messages.
20688 2013-05-25 Stefan Monnier <monnier@iro.umontreal.ca>
20690 * progmodes/flymake.el (flymake-nop): Don't return a string.
20691 (flymake-set-at): Fix typo.
20693 * simple.el (read--expression): New function, extracted from
20694 eval-expression. Set completion-at-point-functions (bug#14465).
20695 (eval-expression, eval-minibuffer): Use it.
20697 2013-05-25 Xue Fuqiao <xfq.free@gmail.com>
20699 * progmodes/flymake.el (flymake-save-buffer-in-file)
20700 (flymake-makehash, flymake-posn-at-point-as-event, flymake-nop)
20701 (flymake-selected-frame, flymake-log, flymake-ins-after)
20702 (flymake-set-at, flymake-get-buildfile-from-cache)
20703 (flymake-add-buildfile-to-cache, flymake-clear-buildfile-cache)
20704 (flymake-find-possible-master-files, flymake-save-buffer-in-file):
20705 Refine the doc string.
20706 (flymake-get-file-name-mode-and-masks): Reformat.
20707 (flymake-get-real-file-name-function): Fix a minor bug.
20709 2013-05-24 Juri Linkov <juri@jurta.org>
20711 * progmodes/grep.el (grep-mode-font-lock-keywords):
20712 Support =linenumber= format used by git-grep for lines with
20713 function names. (Bug#13549)
20715 2013-05-24 Stefan Monnier <monnier@iro.umontreal.ca>
20717 * progmodes/octave.el (octave-smie-rules): Return nil rather than
20718 0 after a semi-colon; it works better for smie-auto-fill.
20719 (octave--indent-new-comment-line): New function.
20720 (octave-indent-new-comment-line): Use it (indirectly).
20721 (octave-mode): Don't disable smie-auto-fill. Use add-function to
20722 modify comment-line-break-function.
20724 * emacs-lisp/smie.el (smie-auto-fill): Rework to be more robust.
20725 (smie-setup): Use add-function to set it.
20727 2013-05-24 Sam Steingold <sds@gnu.org>
20729 * sort.el (delete-duplicate-lines): Accept an optional `keep-blanks'
20730 argument (before the `interactive' argument).
20732 2013-05-24 Stefan Monnier <monnier@iro.umontreal.ca>
20734 * image-mode.el (image-mode-winprops): Add winprops to
20735 image-mode-winprops-alist before running
20736 image-mode-new-window-functions.
20737 * doc-view.el (doc-view-new-window-function): Don't delay
20738 doc-view-goto-page via timers (bug#14435).
20740 2013-05-24 Tassilo Horn <tsdh@gnu.org>
20742 * doc-view.el: Integrate with desktop.el. (Bug#14435)
20743 (doc-view-desktop-save-buffer): New function.
20744 (doc-view-restore-desktop-buffer): New function.
20745 (desktop-buffer-mode-handlers):
20746 Add `doc-view-restore-desktop-buffer' as desktop.el buffer mode
20748 (doc-view-mode): Set `doc-view-desktop-save-buffer' as custom
20749 `desktop-save-buffer' function.
20751 2013-05-24 Michael Albinus <michael.albinus@gmx.de>
20753 * net/tramp-gvfs.el (tramp-gvfs-enabled): New defconst.
20754 (tramp-gvfs-file-name-handler): Raise a user error when
20755 `tramp-gvfs-enabled' is nil.
20756 (top): Register signals only when `tramp-gvfs-enabled' is non-nil.
20757 Do not raise a user error when loading package. (Bug#14447)
20759 * net/xesam.el: Move to obsolete/.
20761 2013-05-24 Glenn Morris <rgm@gnu.org>
20763 * font-lock.el (lisp-font-lock-keywords-2): Add with-coding-priority.
20765 * emacs-lisp/chart.el (chart-sort): Replace obsolete `object-name'.
20767 * progmodes/cperl-mode.el (cperl-mode): Use fboundp.
20768 (Info-find-node, Man-getpage-in-background): Declare.
20770 * mail/unrmail.el (unrmail):
20771 Replace obsolete detect-coding-with-priority.
20773 * net/socks.el (socks-split-string): Use this rather than split-string.
20774 (socks-nslookup-host): Update for above change.
20775 (dynamic-choice, s5-dynamic-choice-match)
20776 (s5-dynamic-choice-match-inline, s5-widget-value-create):
20777 Comment out unused code.
20779 * tooltip.el (tooltip-use-echo-area): Warn only on 'set.
20780 * progmodes/gud.el (gud-gdb-completion-function): Move before use.
20781 (gud-tooltip-echo-area): Make obsolete.
20782 (gud-tooltip-process-output, gud-tooltip-tips): Also check tooltip-mode.
20784 * progmodes/js.el (js--optimize-arglist): Declare.
20786 * progmodes/ruby-mode.el (ruby-syntax-propertize-expansion): Declare.
20788 * progmodes/which-func.el (ediff-window-A, ediff-window-B)
20789 (ediff-window-C): Declare.
20791 * obsolete/pgg-gpg.el, obsolete/pgg-pgp.el, obsolete/pgg-pgp5.el:
20792 Tweak requires to silence compiler.
20794 * obsolete/sym-comp.el: No need to load hipper-exp when compiling.
20795 (he-search-string, he-tried-table, he-expand-list)
20796 (he-init-string, he-string-member, he-substitute-string)
20797 (he-reset-string): Declare.
20799 * obsolete/options.el (list-options): Use custom-variable-p,
20800 rather than obsolete alias.
20802 2013-05-23 Sam Steingold <sds@gnu.org>
20804 * simple.el (shell-command-on-region): Pass the `replace' argument
20805 down to `call-process-region' to comply with the doc as reported on
20806 <http://stackoverflow.com/questions/16720458/emacs-noninteractive-call-to-shell-command-on-region-always-deletes-region>
20808 2013-05-23 Stefan Monnier <monnier@iro.umontreal.ca>
20810 * emacs-lisp/smie.el (smie-indent-forward-token)
20811 (smie-indent-backward-token): Handle string tokens (bug#14381).
20813 2013-05-23 Rüdiger Sonderfeld <ruediger@c-plusplus.de>
20815 * ielm.el (ielm-menu): New menu.
20816 (inferior-emacs-lisp-mode): Set comment-start.
20818 2013-05-23 Rüdiger Sonderfeld <ruediger@c-plusplus.de>
20820 * textmodes/reftex.el (reftex-ref-style-toggle):
20821 Fix deactivate action.
20823 * textmodes/reftex-vars.el (reftex-ref-style-alist):
20824 Add cleveref macros.
20826 * textmodes/reftex-parse.el (reftex-locate-bibliography-files):
20827 Accept options for bibliography commands.
20828 * textmodes/reftex-vars.el (reftex-bibliography-commands):
20829 Add addbibresource. Basic Biblatex support.
20831 2013-05-23 Michael Albinus <michael.albinus@gmx.de>
20833 * net/tramp-gvfs.el (top):
20834 * net/xesam.el (xesam-dbus-unique-names): Suppress D-Bus errors
20835 when loading package. (Bug#14447)
20837 2013-05-23 Glenn Morris <rgm@gnu.org>
20839 * progmodes/js.el: No need to load comint when compiling.
20840 (ring-insert, comint-send-string, comint-send-input)
20841 (comint-last-input-end, ido-chop): Declare.
20843 * vc/ediff-diff.el, vc/ediff-merg.el: Require ediff-util at run-time.
20844 * vc/ediff-mult.el: Adjust requires.
20845 (ediff-directories-internal, ediff-directory-revisions-internal)
20846 (ediff-patch-file-internal): Declare.
20847 * vc/ediff-ptch.el: Adjust requires.
20848 (ediff-use-last-dir, ediff-buffers-internal): Declare.
20849 (ediff-find-file): Autoload.
20850 * vc/ediff-util.el: No need to load ediff when compiling.
20851 (ediff-regions-internal): Declare.
20852 * vc/ediff-wind.el: Adjust requires.
20853 (ediff-compute-toolbar-width): Define when compiling.
20854 (ediff-setup-control-buffer, ediff-make-bottom-toolbar): Declare.
20855 * vc/ediff.el: No need to load dired, ediff-ptch when compiling.
20856 (dired-get-filename, dired-get-marked-files)
20857 (ediff-last-dir-patch, ediff-patch-default-directory)
20858 (ediff-get-patch-buffer, ediff-dispatch-file-patching-job)
20859 (ediff-patch-buffer-internal): Declare.
20861 * emacs-lisp/checkdoc.el: No need to load ispell when compiling.
20862 (ispell-process, ispell-buffer-local-words, lm-summary)
20863 (lm-section-start, lm-section-end): Declare.
20864 (checkdoc-ispell-init): Simplify.
20866 * progmodes/vera-mode.el (he-init-string, he-dabbrev-beg)
20867 (he-string-member, he-reset-string, he-substitute-string): Declare.
20869 * eshell/em-ls.el: Adjust requires.
20870 (eshell-glob-regexp): Declare.
20871 * eshell/em-tramp.el: Adjust requires.
20872 (eshell-parse-command): Autoload.
20873 * eshell/em-xtra.el: Adjust requires.
20874 (eshell-parse-command): Autoload.
20875 * eshell/esh-ext.el: Adjust requires.
20876 (eshell-parse-command, eshell-close-handles): Autoload.
20877 * eshell/esh-io.el: Adjust requires.
20878 (eshell-output-filter): Autoload.
20879 * eshell/esh-util.el: No need to load tramp when compiling.
20880 (tramp-file-name-structure, ange-ftp-ls, ange-ftp-file-modtime):
20882 (eshell-parse-ange-ls): Require ange-ftp and tramp.
20883 * eshell/em-alias.el, eshell/em-banner.el, eshell/em-basic.el:
20884 * eshell/em-cmpl.el, eshell/em-glob.el, eshell/em-pred.el:
20885 * eshell/em-prompt.el, eshell/em-rebind.el, eshell/em-smart.el:
20886 * eshell/em-term.el, eshell/esh-arg.el, eshell/esh-mode.el:
20887 * eshell/esh-opt.el, eshell/esh-proc.el:
20888 * eshell/esh-var.el: Adjust requires.
20889 * eshell/eshell.el: Do not require esh-util twice.
20890 (eshell-add-input-to-history): Declare.
20891 (eshell-command): Check history module is active before using it.
20893 * eshell/em-ls.el (eshell-ls-dir): Fix -A handling.
20895 2013-05-22 Leo Liu <sdl.web@gmail.com>
20897 * progmodes/octave.el (inferior-octave-startup): Fix bug#14433.
20899 2013-05-22 Michael Albinus <michael.albinus@gmx.de>
20901 * autorevert.el (auto-revert-notify-add-watch)
20902 (auto-revert-notify-handler): Add `attrib' for the inotify case,
20903 it indicates changes in file modification time.
20905 2013-05-22 Glenn Morris <rgm@gnu.org>
20907 * emacs-lisp/bytecomp.el (byte-compile-file-form-autoload):
20908 Always delete the autoloaded function from the noruntime and
20909 unresolved functions lists.
20911 * allout.el: No need to load epa, epg, overlay when compiling.
20912 (epg-context-set-passphrase-callback, epg-list-keys)
20913 (epg-decrypt-string, epg-encrypt-string, epg-user-id-string)
20914 (epg-key-user-id-list): Declare.
20916 * emulation/viper-cmd.el (viper-set-searchstyle-toggling-macros)
20917 (viper-set-parsing-style-toggling-macro)
20918 (viper-set-emacs-state-searchstyle-macros):
20919 Use called-interactively-p on Emacs.
20920 (viper-looking-back): Make it an obsolete alias. Update callers.
20921 * emulation/viper-ex.el: Load viper-keym, not viper-cmd.
20922 Use looking-back rather than viper-looking-back.
20923 (viper-tmp-insert-at-eob, viper-enlarge-region)
20924 (viper-read-string-with-history, viper-register-to-point)
20925 (viper-append-to-register, viper-change-state-to-vi)
20926 (viper-backward-char-carefully, viper-forward-char-carefully)
20927 (viper-Put-back, viper-put-back, viper-add-newline-at-eob-if-necessary)
20928 (viper-change-state-to-emacs): Declare.
20929 * emulation/viper-macs.el: Load viper-mous, viper-ex, not viper-cmd.
20930 (viper-change-state-to-insert, viper-change-state-to-vi): Declare.
20931 * emulation/viper-mous.el: Do not load viper-cmd.
20932 (viper-backward-char-carefully, viper-forward-char-carefully)
20933 (viper-forward-word, viper-adjust-window): Declare.
20935 * vc/ediff.el (ediff-version): Use called-interactively-p on Emacs.
20937 * progmodes/idlw-help.el (idlwave-help-fontify):
20938 Use called-interactively-p.
20940 * term/w32console.el (w32-get-console-codepage)
20941 (w32-get-console-output-codepage): Declare.
20943 * dframe.el (x-sensitive-text-pointer-shape, x-pointer-shape):
20944 Remove unnecessary declarations.
20945 (dframe-message): Doc fix.
20947 * info.el (dframe-select-attached-frame, dframe-current-frame):
20950 * speedbar.el (speedbar-message): Make it an obsolete alias.
20951 Update all callers.
20952 (speedbar-with-attached-buffer)
20953 (speedbar-maybee-jump-to-attached-frame): Make these aliases obsolete.
20954 (speedbar-with-writable): Use backquote.
20955 * emacs-lisp/eieio-opt.el (eieio-describe-class-sb):
20956 * emacs-lisp/eieio-speedbar.el (eieio-speedbar-handle-click):
20957 Use dframe-with-attached-buffer, dframe-maybee-jump-to-attached-frame
20958 rather than speedbar- aliases.
20959 * mail/rmail.el: Load dframe rather than speedbar when compiling.
20960 (speedbar-make-specialized-keymap, speedbar-insert-button)
20961 (dframe-select-attached-frame, dframe-maybee-jump-to-attached-frame)
20962 (speedbar-do-function-pointer): Declare.
20963 (rmail-speedbar-button, rmail-speedbar-find-file)
20964 (rmail-speedbar-move-message):
20965 Use dframe-with-attached-buffer rather than speedbar- alias.
20966 * progmodes/gud.el: Load dframe rather than speedbar when compiling.
20967 (dframe-message, speedbar-make-specialized-keymap)
20968 (speedbar-add-expansion-list, speedbar-mode-functions-list)
20969 (speedbar-make-tag-line, speedbar-remove-localized-speedbar-support)
20970 (speedbar-insert-button, dframe-select-attached-frame)
20971 (dframe-maybee-jump-to-attached-frame)
20972 (speedbar-change-initial-expansion-list)
20973 (speedbar-previously-used-expansion-list-name): Declare.
20974 (gud-speedbar-item-info, gud-gdb-goto-stackframe):
20975 Use dframe-message, dframe-with-attached-buffer rather than
20977 (gud-sentinel): Silence compiler.
20978 * progmodes/vhdl-mode.el (speedbar-refresh)
20979 (speedbar-do-function-pointer, speedbar-add-supported-extension)
20980 (speedbar-add-mode-functions-list, speedbar-make-specialized-keymap)
20981 (speedbar-change-initial-expansion-list, speedbar-add-expansion-list)
20982 (speedbar-extension-list-to-regex, speedbar-directory-buttons)
20983 (speedbar-file-lists, speedbar-make-tag-line)
20984 (speedbar-line-directory, speedbar-goto-this-file)
20985 (speedbar-center-buffer-smartly, speedbar-change-expand-button-char)
20986 (speedbar-delete-subblock, speedbar-position-cursor-on-line)
20987 (speedbar-make-button, speedbar-reset-scanners)
20988 (speedbar-files-item-info, speedbar-line-text)
20989 (speedbar-find-file-in-frame, speedbar-set-timer)
20990 (dframe-maybee-jump-to-attached-frame, speedbar-line-file): Declare.
20991 (speedbar-with-writable): Do not (re)define it.
20992 (vhdl-speedbar-find-file): Use dframe-maybee-jump-to-attached-frame
20993 rather than speedbar- alias.
20995 2013-05-21 Leo Liu <sdl.web@gmail.com>
20997 * progmodes/octave.el (octave-mode-menu): Update and re-organize
20999 (octave-mode): Tweak fill-nobreak-predicate.
21000 (inferior-octave-startup): Check process to avoid infinite loop.
21001 (inferior-octave): Pop to buffer first to show abornmal process
21004 2013-05-21 Glenn Morris <rgm@gnu.org>
21006 * printing.el (pr-menu-bar): Define when compiling.
21008 2013-05-21 Leo Liu <sdl.web@gmail.com>
21010 * progmodes/octave.el (octave-auto-fill): Remove.
21011 (octave-indent-new-comment-line): Improve.
21012 (octave-mode): Use auto fill mode through
21013 comment-line-break-function and fill-nobreak-predicate.
21014 (octave-goto-function-definition): Support DEFUN_DLD.
21015 (octave-beginning-of-defun): Small tweak.
21016 (octave-help): Show parent directory.
21018 2013-05-21 Glenn Morris <rgm@gnu.org>
21020 * files.el (dired-unmark):
21021 * progmodes/gud.el (gdb-input): Update declarations.
21023 * calculator.el (electric, ehelp): No need to load when compiling.
21024 (Electric-command-loop, electric-describe-mode): Declare.
21026 * doc-view.el (doc-view-current-converter-processes): Move before use.
21028 * emacs-lisp/easy-mmode.el (define-globalized-minor-mode):
21029 Move MODE-set-explicitly definition before use.
21031 * international/mule-diag.el (mule-diag):
21032 Don't use obsolete window-system-version.
21034 * mail/feedmail.el (smtpmail): No need to load when compiling.
21035 (smtpmail-via-smtp, smtpmail-smtp-server): Declare.
21037 * mail/mail-utils.el (rfc822): No need to load when compiling.
21038 (rfc822-addresses): Autoload it.
21039 (mail-strip-quoted-names): Trivial simplification.
21041 * mail/rmail.el (rmail-mime-message-p, rmail-mime-toggle-raw): Declare.
21042 (rmail-retry-failure): Don't assume that rmail-mime-feature == rmailmm.
21044 * net/snmp-mode.el (tempo): Don't duplicate requires.
21046 * progmodes/prolog.el (info): No need to load when compiling.
21047 (comint): Require before shell requires it.
21048 (Info-goto-node): Autoload it.
21049 (Info-follow-nearest-node): Declare.
21050 (prolog-help-info, prolog-goto-predicate-info): No need to require info.
21052 * textmodes/artist.el (picture-mode-exit): Declare.
21054 * textmodes/reftex-parse.el (reftex-parse-from-file):
21055 Trivial rewrite so the compiler can parse it better.
21057 2013-05-20 Leo Liu <sdl.web@gmail.com>
21059 * progmodes/octave.el (octave-help-mode-map)
21060 (octave-help-mode-finish-hook): New variables.
21061 (octave-help-mode, octave-help-mode-finish): New functions.
21062 (octave-help): Use octave-help-mode.
21064 2013-05-20 Glenn Morris <rgm@gnu.org>
21066 * format-spec.el (format-spec): Allow spec chars with nil. (Bug#14420)
21068 2013-05-19 Dmitry Gutov <dgutov@yandex.ru>
21070 * progmodes/ruby-mode.el (ruby-expression-expansion-re): Allow to
21071 start at point, so that expansion starting right after opening
21072 slash in a regexp is recognized.
21073 (ruby-syntax-before-regexp-re): New defvar, extracted from
21074 ruby-syntax-propertize-function. Since the value of this regexp
21075 is looked up at runtime now, we should be able to turn
21076 `ruby-syntax-methods-before-regexp' into a defcustom later.
21077 (ruby-syntax-propertize-function): Split regexp matching into two
21078 parts, for opening and closing slashes. That allows us to skip
21079 over string interpolations and support multiline regexps.
21080 Don't call `ruby-syntax-propertize-expansions', instead use another rule
21081 for them, which calls `ruby-syntax-propertize-expansion'.
21082 (ruby-syntax-propertize-expansions): Move `remove-text-properties'
21083 call to `ruby-syntax-propertize-function'.
21084 (ruby-syntax-propertize-expansion): Extracted from
21085 `ruby-syntax-propertize-expansions'. Handles one expansion.
21086 (ruby-syntax-propertize-percent-literal): Leave point right after
21087 the percent symbol, so that the expression expansion rule can
21088 propertize the contents.
21089 (ruby-syntax-propertize-heredoc): Leave point at bol following the
21091 (ruby-syntax-propertize-expansions): Remove.
21093 2013-05-18 Juri Linkov <juri@jurta.org>
21095 * man.el (Man-default-man-entry): Remove `-' from the end
21096 of the default value. (Bug#14400)
21098 2013-05-18 Glenn Morris <rgm@gnu.org>
21100 * comint.el (comint-password-prompt-regexp):
21101 Allow "password for XXX" where XXX contains colons (eg https://...).
21103 2013-05-18 Leo Liu <sdl.web@gmail.com>
21105 * progmodes/octave.el (inferior-octave-startup): Use OCTAVE_SRCDIR
21106 instead. Include "--no-gui" to prevent hangs for Octave > 3.7.
21107 (octave-source-directories): Don't check process.
21108 (octave-source-directories, octave-find-definition): Doc fix.
21110 2013-05-18 Glenn Morris <rgm@gnu.org>
21112 * progmodes/vhdl-mode.el (vhdl-mode-map-init):
21113 Remove backspace/delete bindings. (Bug#14392)
21115 * cus-dep.el (custom-make-dependencies): Sort the output.
21116 (custom-versions-load-alist): Convert comment to doc.
21118 2013-05-17 Leo Liu <sdl.web@gmail.com>
21120 * newcomment.el (comment-search-backward): Stricter in finding
21121 comment start. (Bug#14303)
21123 * progmodes/octave.el (octave-comment-start): Remove the SPC char.
21124 (octave-comment-start-skip): Properly anchored.
21126 2013-05-17 Leo Liu <sdl.web@gmail.com>
21128 * emacs-lisp/smie.el (smie-highlight-matching-block-mode):
21129 Clean up when turned off. (Bug#14395)
21130 (smie--highlight-matching-block-overlay): No longer buffer-local.
21131 (smie-highlight-matching-block): Adjust.
21133 2013-05-17 Paul Eggert <eggert@cs.ucla.edu>
21135 Doc string fix for "nanoseconds" (Bug#14406).
21136 * emacs-lisp/timer.el (timer-relative-time, timer-inc-time):
21137 Fix doc string typo that had "nanoseconds" instead of "microseconds".
21139 2013-05-17 Jay Belanger <jay.p.belanger@gmail.com>
21141 * calc/calc-units.el (math-extract-units): Preserve powers
21144 2013-05-17 Leo Liu <sdl.web@gmail.com>
21146 * subr.el (delete-consecutive-dups): New function.
21147 * ido.el (ido-set-matches-1): Use it.
21148 * progmodes/octave.el (inferior-octave-completion-table): Use it.
21149 * ido.el (ido-remove-consecutive-dups): Remove.
21151 2013-05-17 Stefan Monnier <monnier@iro.umontreal.ca>
21153 * progmodes/f90.el (f90-keywords-re, f90-keywords-level-3-re)
21154 (f90-hpf-keywords-re, f90-constants-re): Use \\_< rather than
21155 regexp-opt's `words'.
21157 2013-05-16 Leo Liu <sdl.web@gmail.com>
21159 * emacs-lisp/smie.el (smie-matching-block-highlight): New face.
21160 (smie--highlight-matching-block-overlay)
21161 (smie--highlight-matching-block-lastpos)
21162 (smie--highlight-matching-block-timer): New variables.
21163 (smie-highlight-matching-block): New function.
21164 (smie-highlight-matching-block-mode): New minor mode. (Bug#14395)
21165 (smie-setup): Conditionally enable smie-blink-matching-open.
21167 2013-05-16 Wilson Snyder <wsnyder@wsnyder.org>
21169 Sync with upstream verilog-mode r840.
21170 * progmodes/verilog-mode.el (verilog-mode-version)
21171 (verilog-mode-release-date): Update.
21172 (verilog-auto-lineup, verilog-auto-reset): Doc fixes.
21173 (verilog-sig-tieoff): Fix string error on
21174 AUTORESET with colon define, bug594. Reported by Andrew Hou.
21175 (verilog-read-decls): Fix parameters confusing
21176 AUTOINST interfaces, bug565. Reported by Leith Johnson.
21178 2013-05-16 Eli Zaretskii <eliz@gnu.org>
21180 * subr.el (reveal-filename): New function.
21182 * loadup.el: Compute Emacs executable versions on MS-Windows,
21183 where executables have the .exe extension. Add a hard link
21184 emacs-XX.YY.ZZ.exe on MS-Windows.
21186 * Makefile.in (XARGS_LIMIT): New variable.
21187 (custom-deps, finder-data, autoloads)
21188 ($(MH_E_DIR)/mh-loaddefs.el, $(TRAMP_DIR)/tramp-loaddefs.el)
21189 ($(CAL_DIR)/cal-loaddefs.el, $(CAL_DIR)/diary-loaddefs.el)
21190 ($(CAL_DIR)/hol-loaddefs.el): Use reveal-filename.
21191 (compile-main): Limit xargs according to $(XARGS_LIMIT).
21193 2013-05-16 Leo Liu <sdl.web@gmail.com>
21195 * progmodes/octave.el (octave-indent-defun): Mark obsolete.
21196 (octave-mode-menu, octave-mode-map): Remove its uses.
21198 2013-05-16 Reto Zimmermann <reto@gnu.org>
21200 Sync with upstream vhdl mode v3.34.2.
21201 * progmodes/vhdl-mode.el: Use `push' throughout.
21202 (vhdl-version, vhdl-time-stamp, vhdl-doc-release-notes): Update.
21203 (vhdl-compiler-alist): Replace "\t\n" by "\\t\\n".
21204 Add IBM & Quartus compiler. Enhance entry for ADVance MS compiler.
21205 (vhdl-actual-generic-name): New option to derive actual generic name.
21206 (vhdl-port-paste-signals): Replace formal by actual generics.
21207 (vhdl-beautify): New name for old group vhdl-align. Update users.
21208 (vhdl-beautify-options): New option.
21209 (vhdl-last-input-event): New compat alias. Use throughout.
21210 (vhdl-goto-line): Replace user level function `goto-line'.
21211 (vhdl-mode-map): Add bindings for vhdl-fix-statement-region,
21212 vhdl-fix-statement-buffer.
21213 (vhdl-create-mode-menu): Add some entries.
21214 (vhdl-align-region-groups): Respect vhdl-beautify-options.
21215 (vhdl-align-inline-comment-region-1): Handle "--" inside string.
21216 (vhdl-fixup-whitespace-region): Handle symbols at EOL.
21217 (vhdl-fix-statement-region, vhdl-fix-statement-buffer): New commands,
21218 to force statements on one line.
21219 (vhdl-remove-trailing-spaces-region):
21220 New, split from vhdl-remove-trailing-spaces.
21221 (vhdl-beautify-region): Fix statements, trailing spaces, ^M character.
21222 Respect vhdl-beautify-options.
21223 (vhdl-update-sensitivity-list-buffer): If non-interactive save buffer.
21224 (vhdl-update-sensitivity-list): Not add with index if exists without.
21225 Not include array index with signal. Ignore keywords in comments.
21226 (vhdl-get-visible-signals): Regexp tweaks.
21227 (vhdl-template-component-inst): Handle empty library.
21228 (vhdl-template-type): Add template for 'enum' type.
21229 (vhdl-port-paste-generic-map, vhdl-port-paste-constants):
21230 Use vhdl-replace-string.
21231 (vhdl-port-paste-signals): Use vhdl-prepare-search-1.
21232 (vhdl-speedbar-mode-map): Rename from vhdl-speedbar-key-map.
21233 (vhdl-speedbar-initialize): Update for above name change.
21234 (vhdl-compose-wire-components): Fix in handling of constants.
21235 (vhdl-error-regexp-emacs-alist): New variable.
21236 (vhdl-error-regexp-add-emacs): New function;
21237 adds support for new compile.el (Emacs 22+)
21238 (vhdl-generate-makefile-1): Change target order for single lib. units.
21239 Allow use of absolute file names.
21241 2013-05-16 Leo Liu <sdl.web@gmail.com>
21243 * simple.el (prog-indent-sexp): Indent enclosing defun.
21245 2013-05-15 Glenn Morris <rgm@gnu.org>
21247 * cus-start.el (show-trailing-whitespace): Move to editing basics.
21248 * faces.el (trailing-whitespace): Don't use whitespace-faces group.
21249 * obsolete/old-whitespace.el (whitespace-faces): Remove group.
21250 (whitespace-highlight): Move to whitespace group.
21252 * comint.el (comint-source):
21253 * pcmpl-linux.el (pcmpl-linux):
21254 * shell.el (shell-faces):
21255 * eshell/esh-opt.el (eshell-opt):
21256 * international/ccl.el (ccl): Remove empty custom groups.
21258 * completion.el (dynamic-completion-mode):
21259 * jit-lock.el (jit-lock-debug-mode):
21260 * minibuffer.el (completion-in-region-mode):
21261 * type-break.el (type-break-mode-line-message-mode)
21262 (type-break-query-mode):
21263 * emulation/tpu-edt.el (tpu-edt-mode):
21264 * progmodes/subword.el (global-subword-mode, global-superword-mode):
21265 * progmodes/vhdl-mode.el (vhdl-electric-mode, vhdl-stutter-mode):
21266 * term/vt100.el (vt100-wide-mode): Specify explicit :group.
21268 * term/xterm.el (xterm): Change parent group to terminals.
21270 * master.el (master): Remove empty custom group.
21271 (master-mode): Remove unused :group argument.
21272 * textmodes/refill.el (refill): Remove empty custom group.
21273 (refill-mode): Remove unused :group argument.
21275 * textmodes/rst.el (rst-compile-toolsets): Use rst-compile group.
21277 * cus-dep.el: Provide a feature.
21278 (custom-make-dependencies): Ignore dotfiles (dir-locals).
21279 Don't mistakenly ignore files whose basenames match a basename
21280 from preloaded-file-list (eg cedet/ede/simple.el).
21281 Add a fallback method for getting :group.
21283 2013-05-15 Juri Linkov <juri@jurta.org>
21285 * isearch.el (isearch-char-by-name): Rename from
21286 `isearch-insert-char-by-name'. Doc fix.
21287 (isearch-forward): Mention `isearch-char-by-name' in
21288 the docstring. (Bug#13348)
21290 * isearch.el (minibuffer-local-isearch-map): Bind "\r" to
21291 `exit-minibuffer' instead of
21292 `isearch-nonincremental-exit-minibuffer'.
21293 (isearch-edit-string): Remove mention of
21294 `isearch-nonincremental-exit-minibuffer' from docstring.
21295 (isearch-nonincremental-exit-minibuffer): Mark as obsolete.
21296 (isearch-forward-exit-minibuffer)
21297 (isearch-reverse-exit-minibuffer): Add docstring. (Bug#13348)
21299 2013-05-15 Stefan Monnier <monnier@iro.umontreal.ca>
21301 * loadup.el: Just use unversioned DOC.
21303 * nxml/nxml-mode.el: Treat unclosed <[[, <?, comment, and other
21304 literals as extending to EOB.
21305 (nxml-last-fontify-end): Remove unused variable.
21306 (nxml-after-change1): Use with-silent-modifications.
21307 (nxml-extend-after-change-region): Simplify.
21308 (nxml-extend-after-change-region1): Remove function.
21309 (nxml-after-change1): Don't adjust for dependent regions.
21310 (nxml-fontify-matcher): Simplify.
21311 * nxml/xmltok.el (xmltok-dependent-regions): Remove variable.
21312 (xmltok-add-dependent): Remove function.
21313 (xmltok-scan-after-lt, xmltok-scan-after-processing-instruction-open)
21314 (xmltok-scan-after-comment-open, xmltok-scan-prolog-literal)
21315 (xmltok-scan-prolog-after-processing-instruction-open): Treat
21316 unclosed <[[, <?, comment, and other literals as extending to EOB.
21317 * nxml/rng-valid.el (rng-mark-xmltok-dependent-regions)
21318 (rng-mark-xmltok-dependent-region, rng-dependent-region-changed):
21320 (rng-do-some-validation-1): Don't mark dependent regions.
21321 * nxml/nxml-rap.el (nxml-adjust-start-for-dependent-regions)
21322 (nxml-mark-parse-dependent-regions, nxml-mark-parse-dependent-region)
21323 (nxml-clear-dependent-regions): Remove functions.
21324 (nxml-scan-after-change, nxml-scan-prolog, nxml-tokenize-forward)
21325 (nxml-ensure-scan-up-to-date):
21326 Don't clear&mark dependent regions.
21328 2013-05-15 Leo Liu <sdl.web@gmail.com>
21330 * progmodes/octave.el (octave-goto-function-definition):
21331 Improve and fix callers.
21333 2013-05-15 Stefan Monnier <monnier@iro.umontreal.ca>
21335 * emacs-lisp/cl-extra.el (cl-getf): Return the proper value in
21336 the setter (bug#14387).
21338 * progmodes/f90.el (f90-blocks-re): Include the terminating \> in the
21339 surrounding group (bug#14402).
21341 2013-05-14 Juri Linkov <juri@jurta.org>
21343 * subr.el (find-tag-default-as-regexp): Return nil if `tag' is nil.
21346 2013-05-14 Glenn Morris <rgm@gnu.org>
21348 * progmodes/f90.el (f90-imenu-generic-expression):
21349 Fix typo in 2013-05-08 change. (Bug#14402)
21351 2013-05-14 Jean-Philippe Gravel <jpgravel@gmail.com>
21353 * progmodes/gdb-mi.el (gdb-running, gdb-starting):
21354 Remove signals for which replies are never received.
21356 2013-05-14 Jean-Philippe Gravel <jpgravel@gmail.com>
21358 * progmodes/gdb-mi.el: Fix non-responsive gud commands (bug#13845)
21359 (gdb-handler-alist, gdb-handler-number): Remove variables.
21360 (gdb-handler-list): New variable.
21361 (gdb-add-handler, gdb-delete-handler, gdb-get-handler-function)
21362 (gdb-pending-handler-p, gdb-handle-reply)
21363 (gdb-remove-all-pending-triggers): New functions.
21364 (gdb-discard-unordered-replies): New defcustom.
21365 (gdb-handler): New defstruct.
21366 (gdb-wait-for-pending): Fix invalid backquote. Use gdb-handler-list.
21367 instead of gdb-pending-triggers. Update docstring.
21368 (gdb-init-1): Remove dead variables. Initialize gdb-handler-list.
21369 (gdb-speedbar-update, gdb-speedbar-timer-fn, gdb-var-update)
21370 (gdb-var-update-handler, def-gdb-auto-update-trigger)
21371 (def-gdb-auto-update-handler, gdb-get-changed-registers)
21372 (gdb-changed-registers-handler, gdb-get-main-selected-frame)
21373 (gdb-frame-handler): Pending triggers are now automatically managed.
21374 (def-gdb-trigger-and-handler, def-gdb-auto-update-handler):
21376 (gdb-input): Automatically handles pending triggers. Update docstring.
21377 (gdb-resync): Replace gdb-pending-triggers by gdb-handler-list.
21378 (gdb-thread-exited, gdb-thread-selected, gdb-register-names-handler):
21380 (gdb-done-or-error): Now use gdb-handle-reply.
21382 2013-05-14 Jean-Philippe Gravel <jpgravel@gmail.com>
21384 * progmodes/gdb-mi.el (gdb-input): Include token numbers in
21387 2013-05-14 Glenn Morris <rgm@gnu.org>
21389 * subr.el (user-emacs-directory-warning): New option.
21390 (locate-user-emacs-file): Handle non-accessible .emacs.d. (Bug#13930)
21392 2013-05-14 Leo Liu <sdl.web@gmail.com>
21394 * progmodes/octave.el (octave-font-lock-keywords): Fix error
21396 (octave-goto-function-definition, octave-find-definition): Minor tweaks.
21397 (octave-font-lock-texinfo-comment): Fix invalid search bound
21398 error: wrong side of point.
21400 2013-05-14 Glenn Morris <rgm@gnu.org>
21402 * progmodes/flymake.el (flymake-xml-program): New option.
21403 (flymake-xml-init): Use it.
21405 * term/xterm.el: Provide a feature.
21407 * term/sup-mouse.el: Move to obsolete/. Provide a feature.
21409 2013-05-13 Glenn Morris <rgm@gnu.org>
21411 * cus-dep.el (defcustom-mh, defgroup-mh, defface-mh):
21412 Add compat aliases as a hack workaround. (Bug#14384)
21414 2013-05-13 Leo Liu <sdl.web@gmail.com>
21416 * progmodes/octave.el (octave-indent-comment): Fix indentation for
21418 (octave-mode-map): Bind octave-indent-defun to C-c C-q instead of
21420 (octave-comment-start-skip): Include %!.
21421 (octave-mode): Set comment-start-skip to octave-comment-start-skip.
21423 2013-05-12 Leo Liu <sdl.web@gmail.com>
21425 * progmodes/octave.el (inferior-octave-startup): Store the value
21426 of __octave_srcdir__ for octave-source-directories.
21427 (inferior-octave-check-process): New function refactored out of
21428 inferior-octave-send-list-and-digest.
21429 (octave-source-directories)
21430 (octave-find-definition-filename-function): New variables.
21431 (octave-source-directories)
21432 (octave-find-definition-default-filename): New functions.
21433 (octave-find-definition): Improve to find functions implemented in C++.
21435 2013-05-12 Glenn Morris <rgm@gnu.org>
21437 * calendar/diary-lib.el (diary-outlook-format-1):
21438 Don't include dayname in the output. (Bug#14349)
21440 2013-05-11 Glenn Morris <rgm@gnu.org>
21442 * emacs-lisp/autoload.el (generated-autoload-load-name): Doc fix.
21444 * cus-dep.el (custom-make-dependencies): Only use safe local variables.
21445 Treat cc-provide like provide.
21447 2013-05-11 Kevin Ryde <user42@zip.com.au>
21449 * cus-dep.el (custom-make-dependencies):
21450 Use generated-autoload-load-name for the sake of files such
21451 such cedet/semantic/bovine/c.el, where the base file name
21452 is not in load-path. (Bug#5277)
21454 2013-05-11 Glenn Morris <rgm@gnu.org>
21456 * dos-vars.el, emacs-lisp/cl-indent.el, emulation/tpu-extras.el:
21459 2013-05-11 Leo Liu <sdl.web@gmail.com>
21461 * progmodes/octave.el (octave-indent-comment): Improve.
21462 (octave-eldoc-message-style, octave-eldoc-cache): New variables.
21463 (octave-eldoc-function-signatures, octave-eldoc-function):
21465 (octave-mode, inferior-octave-mode): Add eldoc support.
21467 2013-05-11 Richard Stallman <rms@gnu.org>
21469 * epa.el (epa-decrypt-file): Take output file name as argument
21470 and read it using `interactive'.
21472 2013-05-11 Leo Liu <sdl.web@gmail.com>
21474 * progmodes/octave.el (octave-beginning-of-line)
21475 (octave-end-of-line): Check before using up-list because it jumps
21476 out of more syntactic contructs since moving to smie.
21477 (octave-indent-comment): New function.
21478 (octave-mode): Use it in smie-indent-functions. (Bug#14350)
21479 (octave-begin-keywords, octave-end-keywords)
21480 (octave-reserved-words, octave-smie-bnf-table)
21481 (octave-smie-rules): Add new keywords from Octave 3.6.4.
21483 2013-05-11 Glenn Morris <rgm@gnu.org>
21485 * faces.el (internal-face-x-get-resource):
21486 * frame.el (ns-display-monitor-attributes-list):
21487 * calc/calc-aent.el (math-to-radians-2):
21488 * emacs-lisp/package.el (tar-header-name, tar-header-link-type):
21491 * calc/calc-menu.el: Make it loadable in isolation.
21493 * net/eudcb-bbdb.el: Make it loadable without bbdb.
21494 (eudc-bbdb-filter-non-matching-record, eudc-bbdb-extract-phones)
21495 (eudc-bbdb-extract-addresses, eudc-bbdb-format-record-as-result)
21496 (eudc-bbdb-query-internal): Require 'bbdb.
21498 * lpr.el (lpr-headers-switches):
21499 * emacs-lisp/testcover.el (testcover-compose-functions): Fix :type.
21501 * progmodes/sql.el (sql-login-params): Fix and improve :type.
21503 * emulation/edt-mapper.el: In batch mode, error rather than hang.
21505 * term.el (term-set-escape-char): Make it idempotent.
21507 2013-05-10 Leo Liu <sdl.web@gmail.com>
21509 * progmodes/octave.el (inferior-octave-completion-table):
21510 No longer a function and all uses changed. Use cache to speed up
21511 completion due to bug#11906.
21512 (octave-beginning-of-defun): Re-write to be more general.
21514 2013-05-10 Glenn Morris <rgm@gnu.org>
21516 * emacs-lisp/cl-macs.el (cl-loop): Doc fix.
21518 2013-05-09 Stefan Monnier <monnier@iro.umontreal.ca>
21520 * comint.el (comint-redirect-send-command-to-process): Use :around
21521 rather than :override for comint-redirect-filter.
21522 (comint-redirect-filter): Add the corresponding `orig-filter' argument.
21523 Call it instead of comint-redirect-original-filter-function (which
21524 is gone). Reported by Juanma Barranquero <lekktu@gmail.com>.
21526 2013-05-09 Jan Djärv <jan.h.d@swipnet.se>
21528 * frame.el (display-monitor-attributes-list): Add NS case.
21529 (ns-display-monitor-attributes-list): Declare.
21531 2013-05-09 Ulrich Mueller <ulm@gentoo.org>
21533 * descr-text.el (describe-char): Fix %d/%x typo. (Bug#14360)
21535 2013-05-09 Glenn Morris <rgm@gnu.org>
21537 * international/fontset.el (vertical-centering-font-regexp):
21538 Set standard-value.
21540 * tar-mode.el (tar-superior-buffer, tar-superior-descriptor): Add doc.
21542 * bookmark.el (bookmark-search-delay):
21543 * cus-start.el (vertical-centering-font-regexp):
21544 * ps-mule.el (ps-mule-font-info-database-default):
21545 * ps-print.el (ps-default-fg, ps-default-bg):
21546 * type-break.el (type-break-good-break-interval):
21547 * whitespace.el (whitespace-indentation-regexp)
21548 (whitespace-space-after-tab-regexp):
21549 * emacs-lisp/testcover.el (testcover-1value-functions)
21550 (testcover-noreturn-functions, testcover-progn-functions)
21551 (testcover-prog1-functions):
21552 * emulation/viper-init.el (viper-emacs-state-cursor-color):
21553 * eshell/em-glob.el (eshell-glob-translate-alist):
21554 * play/tetris.el (tetris-tty-colors):
21555 * progmodes/cpp.el (cpp-face-default-list):
21556 * progmodes/flymake.el (flymake-allowed-file-name-masks):
21557 * progmodes/idlw-help.el (idlwave-help-browser-generic-program)
21558 (idlwave-help-browser-generic-args):
21559 * progmodes/make-mode.el (makefile-special-targets-list):
21560 * progmodes/python.el (python-shell-virtualenv-path):
21561 * progmodes/verilog-mode.el (verilog-active-low-regexp)
21562 (verilog-auto-input-ignore-regexp, verilog-auto-inout-ignore-regexp)
21563 (verilog-auto-output-ignore-regexp, verilog-auto-tieoff-ignore-regexp)
21564 (verilog-auto-unused-ignore-regexp, verilog-typedef-regexp):
21565 * textmodes/reftex-vars.el (reftex-format-label-function):
21566 * textmodes/remember.el (remember-diary-file): Fix custom types.
21568 * jka-cmpr-hook.el (jka-compr-mode-alist-additions): Fix typo.
21571 2013-05-09 Leo Liu <sdl.web@gmail.com>
21573 * progmodes/octave.el (inferior-octave-completion-at-point):
21574 Restore file completion. (Bug#14300)
21575 (inferior-octave-startup): Fix incorrect highlighting for the
21578 2013-05-08 Stefan Monnier <monnier@iro.umontreal.ca>
21580 * progmodes/ruby-mode.el: First cut at SMIE support.
21581 (ruby-use-smie): New var.
21582 (ruby-smie-grammar): New constant.
21583 (ruby-smie--bosp, ruby-smie--implicit-semi-p)
21584 (ruby-smie--forward-token, ruby-smie--backward-token)
21585 (ruby-smie-rules): New functions.
21586 (ruby-mode-variables): Setup SMIE if applicable.
21588 2013-05-08 Eli Zaretskii <eliz@gnu.org>
21590 * simple.el (line-move-visual): Signal beginning/end of buffer
21591 only if vertical-motion moved less than it was requested. Avoids
21592 silly incorrect error messages when there are display strings with
21593 multiple newlines at EOL.
21595 2013-05-08 Stefan Monnier <monnier@iro.umontreal.ca>
21597 * progmodes/vera-mode.el (vera-underscore-is-part-of-word):
21598 * progmodes/prolog.el (prolog-underscore-wordchar-flag)
21599 (prolog-char-quote-workaround):
21600 * progmodes/cperl-mode.el (cperl-under-as-char):
21601 * progmodes/vhdl-mode.el (vhdl-underscore-is-part-of-word):
21603 (vhdl-mode-syntax-table, vhdl-mode-ext-syntax-table): Initialize in
21605 (vhdl-mode-syntax-table-init): Remove.
21607 * progmodes/m4-mode.el (m4-mode-syntax-table): Add comment on
21610 * progmodes/ld-script.el (ld-script-mode-syntax-table): Use symbol
21612 (ld-script-font-lock-keywords):
21613 Change regexps to use things like \_< and \_>.
21615 * progmodes/f90.el (f90-mode-syntax-table): Use symbol syntax for "_".
21616 Change all regexps to use things like \_< and \_>.
21618 * progmodes/autoconf.el (autoconf-definition-regexp)
21619 (autoconf-font-lock-keywords, autoconf-current-defun-function):
21620 Handle a _ with symbol syntax.
21621 (autoconf-mode): Don't change the syntax-table for imenu and font-lock.
21623 * progmodes/ada-mode.el (ada-mode-abbrev-table):
21624 Consolidate declaration.
21625 (ada-mode-syntax-table, ada-mode-symbol-syntax-table): Initialize in
21627 (ada-create-syntax-table): Remove.
21628 (ada-capitalize-word): Don't mess with the syntax of "_" since it
21629 already has the right syntax nowadays.
21630 (ada-goto-next-word): Don't change the syntax of "_".
21632 * font-lock.el (lisp-font-lock-keywords-2): Don't highlight obsolete
21635 2013-05-08 Sam Steingold <sds@gnu.org>
21637 * thingatpt.el (thing-at-point): Accept optional second argument
21638 NO-PROPERTIES to strip the text properties from the return value.
21639 * net/browse-url.el (browse-url-url-at-point): Pass NO-PROPERTIES
21640 to `thing-at-point' instead of stripping the properties ourselves.
21641 Also, when `thing-at-point' fails to find a url, prepend "http://"
21642 to the filename at point on the assumption that the user is
21643 pointing at something like gnu.org/gnu.
21645 2013-05-08 Juanma Barranquero <lekktu@gmail.com>
21647 * emacs-lisp/bytecomp.el (byte-compile-insert-header):
21648 * faces.el (crm-separator):
21649 Silence byte-compiler.
21651 * progmodes/gud.el (gdb-speedbar-auto-raise, gud-tooltip-mode)
21652 (tool-bar-map): Remove unneeded defvars.
21654 2013-05-08 Leo Liu <sdl.web@gmail.com>
21656 Re-work a fix for bug#10994 based on Le Wang's patch.
21657 * ido.el (ido-remove-consecutive-dups): New helper.
21658 (ido-completing-read): Use it.
21659 (ido-chop): Revert fix for bug#10994.
21661 2013-05-08 Adam Spiers <emacs@adamspiers.org>
21663 * cus-edit.el (custom-save-variables):
21664 Pretty-print long values. (Bug#14187)
21666 2013-05-08 Glenn Morris <rgm@gnu.org>
21668 * progmodes/m4-mode.el (m4-program): Assume it is in PATH.
21669 (m4-mode-syntax-table): Init in the defvar.
21670 (m4-mode-abbrev-table): Let define-derived-mode define it.
21672 2013-05-08 Tom Tromey <tromey@redhat.com>
21674 * progmodes/m4-mode.el (m4-mode-syntax-table):
21675 Do not treat "_" as word constituent. (Bug#14167)
21677 2013-05-07 Glenn Morris <rgm@gnu.org>
21679 * eshell/em-hist.el (eshell-isearch-map): Initialize in the defvar.
21680 Remove explicit eshell-isearch-cancel-map.
21682 * progmodes/f90.el (f90-smart-end-names): New option.
21683 (f90-smart-end): Doc fix.
21684 (f90-end-block-optional-name): New constant.
21685 (f90-block-match): Respect f90-smart-end-names.
21687 2013-05-07 Stefan Monnier <monnier@iro.umontreal.ca>
21689 * progmodes/octave.el (octave-smie-forward-token): Be more careful
21690 about implicit semi-colons (bug#14218).
21692 2013-05-07 YAMAMOTO Mitsuharu <mituharu@math.s.chiba-u.ac.jp>
21694 * frame.el (display-monitor-attributes-list)
21695 (frame-monitor-attributes): New functions.
21697 2013-05-06 Leo Liu <sdl.web@gmail.com>
21699 * progmodes/octave.el (octave-syntax-propertize-function): Change
21700 \'s syntax to escape when inside double-quoted strings. (Bug#14332)
21701 (octave-font-lock-keywords): Use octave-operator-regexp.
21702 (octave-completion-at-point): Rename from
21703 octave-completion-at-point-function.
21704 (inferior-octave-directory-tracker): Robustify.
21705 (octave-text-functions): Remove and fix its uses. No such things
21708 2013-05-06 Stefan Monnier <monnier@iro.umontreal.ca>
21710 * emacs-lisp/trace.el (trace--display-buffer): New function.
21711 (trace-make-advice): Use it.
21713 2013-05-06 Juri Linkov <juri@jurta.org>
21715 * emacs-lisp/lisp-mode.el (eval-defun-2): Doc fix. (Bug#14344)
21716 (eval-defun-2, eval-defun, eval-last-sexp, eval-last-sexp-1):
21718 (emacs-lisp-mode-map): Replace "minibuffer" with "echo area"
21719 in the help string. (Bug#12985)
21721 2013-05-06 Kelly Dean <kellydeanch@yahoo.com> (tiny change)
21723 * simple.el (shell-command-on-region): Doc fix. (Bug#14279)
21725 2013-05-06 Stefan Monnier <monnier@iro.umontreal.ca>
21727 * progmodes/perl-mode.el: Add support for here documents.
21728 (perl-syntax-propertize-function): Match here-doc markers.
21729 (perl-syntax-propertize-special-constructs): Find their end.
21730 (perl-imenu-generic-expression): Use [:alnum:].
21732 * emacs-lisp/nadvice.el (advice--member-p): Return the advice if found.
21733 (advice--add-function): Refresh the advice if already present
21736 2013-05-06 Ivan Andrus <darthandrus@gmail.com>
21738 * find-file.el (cc-other-file-alist): Add ".m" for ObjC. (Bug#14339)
21740 2013-05-06 Glenn Morris <rgm@gnu.org>
21742 * w32-fns.el (w32-charset-info-alist): Declare.
21744 * eshell/em-cmpl.el: Simply require pcomplete; eg we use a bunch
21745 of its defcustom properties.
21746 (eshell-cmpl-initialize): No need to load pcomplete.
21748 * generic-x.el: No need to require comint when compiling.
21750 * net/eudc-export.el: Make it loadable without bbdb.
21751 (top-level): Use require rather than load-library.
21752 (eudc-create-bbdb-record, eudc-bbdbify-phone)
21753 (eudc-batch-export-records-to-bbdb)
21754 (eudc-insert-record-at-point-into-bbdb, eudc-try-bbdb-insert):
21757 2013-05-06 Stefan Monnier <monnier@iro.umontreal.ca>
21759 * progmodes/octave.el (octave-texinfo-font-lock-keywords): Remove.
21760 (octave-font-lock-texinfo-comment): Use texinfo-font-lock-keywords with
21761 some tweaks, instead.
21763 2013-05-05 Leo Liu <sdl.web@gmail.com>
21765 * progmodes/octave.el (octave-font-lock-keywords)
21766 (octave-font-lock-texinfo-comment): Adjust for the byte-compiler.
21767 (inferior-octave-send-list-and-digest): Improve error message.
21768 (octave-mode, inferior-octave-mode): Use setq-local.
21769 (octave-help): Set info-lookup-mode.
21771 2013-05-05 Richard Stallman <rms@gnu.org>
21773 * vc/compare-w.el (compare-windows-whitespace):
21774 Treat no-break space as whitespace.
21776 * mail/rmailsum.el (rmail-summary-rmail-update):
21777 Detect empty summary and don't change selected message.
21778 (rmail-summary-goto-msg): Likewise.
21780 * mail/rmailsum.el (rmail-new-summary, rmail-new-summary-1):
21781 Doc fixes, rename args.
21783 2013-05-05 Alan Mackenzie <acm@muc.de>
21785 * progmodes/cc-defs.el (c-version): Increment to 5.32.5.
21787 2013-05-05 Juri Linkov <juri@jurta.org>
21789 * info.el (Info-read-subfile): Use (point-min) instead of (point)
21790 to not add the length of the summary segment to the return value.
21793 2013-05-05 Leo Liu <sdl.web@gmail.com>
21795 * progmodes/octave.el (inferior-octave-strip-ctrl-g)
21796 (inferior-octave-output-filter): Remove.
21797 (octave-send-region, inferior-octave-startup): Fix callers.
21798 (inferior-octave-mode-map): Don't use comint-dynamic-complete.
21799 (octave-binary-file-extensions): New user variable.
21800 (octave-find-definition): Confirm if opening binary files.
21801 (octave-help-file): Use octave-find-definition to get the binary
21803 (octave-help): Adjust for octave-help-file change.
21805 2013-05-05 Stefan Monnier <monnier@iro.umontreal.ca>
21807 * progmodes/pascal.el (pascal-font-lock-keywords): Use backquotes.
21808 Merge the two entries that handle function definitions.
21809 (pascal--syntax-propertize): New const.
21810 (pascal-mode): Use it. Use setq-local.
21812 2013-05-04 Glenn Morris <rgm@gnu.org>
21814 * calendar/diary-lib.el (diary-from-outlook-function): New variable.
21815 (diary-from-outlook): Respect diary-from-outlook-function.
21817 2013-05-04 Stefan Monnier <monnier@iro.umontreal.ca>
21819 * simple.el (read-expression-map): Use completion-at-point (bug#14255).
21820 Move the declaration from C.
21821 (read-minibuffer, eval-minibuffer): Move from C.
21822 (completion-setup-function): Avoid minibuffer-completion-contents.
21824 2013-05-03 Leo Liu <sdl.web@gmail.com>
21826 * progmodes/octave.el (octave-font-lock-keywords): Do not
21827 dehighlight 'end' in comments or strings.
21828 (octave-completing-read, octave-goto-function-definition):
21830 (octave-help-buffer): New user variable.
21831 (octave-help-file, octave-help-function): New button types.
21832 (octave-help): New command and bind it to C-h ;.
21833 (octave-find-definition): New command and bind it to M-.
21834 (user-error): Alias to error if not defined.
21836 2013-05-02 Leo Liu <sdl.web@gmail.com>
21838 * progmodes/octave.el (octave-mode-syntax-table): Correct syntax
21840 (octave-font-lock-keywords): Include [ and {.
21842 2013-05-02 Leo Liu <sdl.web@gmail.com>
21844 * progmodes/octave.el (inferior-octave-startup-file): Change default.
21845 (inferior-octave): Remove calling comint-mode and return the buffer.
21846 (inferior-octave-startup): Cosmetic changes.
21848 2013-05-02 Leo Liu <sdl.web@gmail.com>
21850 * progmodes/octave.el (octave-syntax-propertize-function):
21851 Include the case when ' is at line beginning. (Bug#14336)
21853 2013-05-02 Glenn Morris <rgm@gnu.org>
21855 * vc/vc-dir.el (vc-dir-mode): Don't autoload it for everyone.
21856 * desktop.el (vc-dir-mode): Just autoload it here.
21858 2013-05-02 Alan Mackenzie <acm@muc.de>
21860 Eliminate variable c-standard-font-lock-fontify-region-function.
21861 * progmodes/cc-mode.el
21862 (c-standard-font-lock-fontify-region-function): Remove.
21863 (c-font-lock-fontify-region, c-after-font-lock-init): Adapt.
21865 2013-05-01 Leo Liu <sdl.web@gmail.com>
21867 * progmodes/octave.el: Compatible with older emacs-24 releases.
21868 (inferior-octave-has-built-in-variables): Remove. Built-in
21869 variables were removed from Octave in 2007.
21870 (inferior-octave-startup): Fix uses.
21871 (comint-line-beginning-position): Remove compatibility code for
21874 2013-05-01 Juri Linkov <juri@jurta.org>
21876 * isearch.el (isearch-forward, isearch-mode): Doc fix. (Bug#13923)
21878 2013-05-01 Juri Linkov <juri@jurta.org>
21880 * comint.el (comint-previous-matching-input): Don't print message
21881 "History item: %d" when `isearch-mode' is active.
21882 (comint-history-isearch-message): Print message "History item: %d"
21883 when `comint-input-ring-index' is not empty and this function is
21884 called from `isearch-update' with a nil `ellipsis'. (Bug#13223)
21886 2013-05-01 Leo Liu <sdl.web@gmail.com>
21888 * progmodes/octave.el (octave-abbrev-table): Remove abbrev
21889 definitions. Use completion-at-point to insert keywords.
21890 (octave-abbrev-start): Remove.
21891 (inferior-octave-mode, octave-mode): Use :abbrev-table instead.
21893 2013-04-30 Leo Liu <sdl.web@gmail.com>
21895 * progmodes/octave.el (inferior-octave-prompt-read-only): Fix last
21898 2013-04-30 Alan Mackenzie <acm@muc.de>
21900 Handle arbitrarily long C++ member initialisation lists.
21901 * progmodes/cc-engine.el (c-back-over-member-initializers):
21903 (c-guess-basic-syntax): New CASE 5R (extracted from 5B) to handle
21904 (most) member init lists.
21906 2013-04-30 Rüdiger Sonderfeld <ruediger@c-plusplus.de>
21908 * progmodes/octave.el (inferior-octave-prompt-read-only): New user
21911 2013-04-30 Leo Liu <sdl.web@gmail.com>
21913 * progmodes/octave.el (octave-variables): Remove. No builtin
21914 variables any more. All converted to functions.
21915 (octave-font-lock-keywords, octave-completion-at-point-function):
21917 (octave-font-lock-texinfo-comment): New user variable.
21918 (octave-texinfo-font-lock-keywords): New variable for texinfo
21920 (octave-function-comment-block): New face.
21921 (octave-font-lock-texinfo-comment): New function.
21922 (octave-mode): Font lock texinfo comment block.
21924 2013-04-29 Leo Liu <sdl.web@gmail.com>
21926 * progmodes/octave.el (octave-font-lock-keywords): Handle 'end' in
21927 indexing expression.
21928 (octave-continuation-string): Do not use \.
21929 (inferior-octave-complete-impossible): Remove.
21930 (inferior-octave-completion-table)
21931 (inferior-octave-completion-at-point): Remove its uses.
21932 (inferior-octave-startup): completion_matches was introduced to
21933 Octave in 1996 so safe to assume it.
21934 (octave-function-file-comment): Improve to follow how Octave does it.
21935 (octave-update-function-file-comment): Tweak.
21937 2013-04-29 Leo Liu <sdl.web@gmail.com>
21939 * progmodes/octave.el (inferior-octave-startup-hook): Obsolete.
21940 (inferior-octave-startup): Remove inferior-octave-startup-hook.
21941 (octave-function-file-comment): Fix typo.
21942 (octave-sync-function-file-names): Use read-char-choice.
21944 2013-04-28 Jay Belanger <jay.p.belanger@gmail.com>
21946 * calc/calc.el (math-normalize): Don't set `math-normalize-error'
21947 to t for the less important warnings.
21949 2013-04-27 Darren Hoo <darren.hoo@gmail.com> (tiny change)
21951 * isearch.el (isearch-fail-pos): Check for empty `cmds'. (Bug#14268)
21953 2013-04-27 Glenn Morris <rgm@gnu.org>
21955 * vc/log-view.el (log-view-current-entry):
21956 Treat "---" separator lines as part of the following rev. (Bug#14169)
21958 2013-04-27 Juri Linkov <juri@jurta.org>
21960 * subr.el (read-number): Doc fix about using it by interactive
21961 code letter `n'. (Bug#14254)
21963 2013-04-27 Juri Linkov <juri@jurta.org>
21965 * desktop.el (desktop-auto-save-timeout): New option.
21966 (desktop-file-checksum): New variable.
21967 (desktop-save): Add optional arg `auto-save' and don't auto-save
21968 if nothing changed.
21969 (desktop-auto-save-timer): New variable.
21970 (desktop-auto-save, desktop-auto-save-set-timer): New functions.
21971 (after-init-hook): Call `desktop-auto-save-set-timer'.
21972 Suggested by Reuben Thomas <rrt@sc3d.org> in
21973 <http://lists.gnu.org/archive/html/emacs-devel/2013-04/msg00327.html>.
21975 2013-04-27 Leo Liu <sdl.web@gmail.com>
21977 * progmodes/octave.el (octave-function-file-p)
21978 (octave-skip-comment-forward, octave-function-file-comment)
21979 (octave-update-function-file-comment): New functions.
21980 (octave-mode-map): Bind C-c ; to
21981 octave-update-function-file-comment.
21982 (octave-mode-menu): Add octave-update-function-file-comment.
21983 (octave-mode, inferior-octave-mode): Fix doc-string.
21984 (octave-insert-defun): Conform to Octave's coding convention.
21987 * files.el (basic-save-buffer): Don't let errors in
21988 before-save-hook prevent saving buffer.
21990 2013-04-20 Roland Winkler <winkler@gnu.org>
21992 * faces.el (read-face-name): Use completing-read if arg multiple
21995 2013-04-27 Ingo Lohmar <i.lohmar@gmail.com> (tiny change)
21997 * ls-lisp.el (ls-lisp-insert-directory): If no files are
21998 displayed, move point to after the totals line.
21999 See http://lists.gnu.org/archive/html/emacs-devel/2013-04/msg00677.html
22002 2013-04-27 Stefan Monnier <monnier@iro.umontreal.ca>
22004 * emacs-lisp/package.el (package-autoload-ensure-default-file):
22005 Add current dir to the load-path.
22006 (package-generate-autoloads): Don't rely on
22007 autoload-ensure-default-file.
22009 2013-04-26 Reuben Thomas <rrt@sc3d.org>
22011 * textmodes/remember.el (remember-store-in-files): Document that
22012 the file name format is passed to `format-time-string'.
22014 2013-04-26 Leo Liu <sdl.web@gmail.com>
22016 * progmodes/octave.el (octave-sync-function-file-names): New function.
22017 (octave-mode): Use it in before-save-hook.
22019 2013-04-26 Stefan Monnier <monnier@iro.umontreal.ca>
22021 * emacs-lisp/tabulated-list.el (tabulated-list-mode): Disable undo
22024 * progmodes/octave.el (octave-smie-forward-token): Properly skip
22025 \n and comment, even if it's not an implicit ; (bug#14218).
22027 2013-04-26 Glenn Morris <rgm@gnu.org>
22029 * subr.el (read-number): Once more use `read' rather than
22030 `string-to-number', to trap non-numeric input. (Bug#14254)
22032 2013-04-26 Erik Charlebois <erikcharlebois@gmail.com>
22034 * emacs-lisp/syntax.el (syntax-propertize-multiline):
22035 Use `syntax-multiline' text property consistently instead of
22036 `font-lock-multiline'. (Bug#14237)
22038 2013-04-26 Glenn Morris <rgm@gnu.org>
22040 * emacs-lisp/shadow.el (list-load-path-shadows):
22041 No longer necessary to check for duplicate simple.el, since
22042 2012-07-07 change to init_lread to not include installation lisp
22043 directories in load-path when running uninstalled. (Bug#14270)
22045 2013-04-26 Leo Liu <sdl.web@gmail.com>
22047 * progmodes/octave.el (octave-submit-bug-report): Obsolete.
22048 (octave-mode, inferior-octave-mode): Use setq-local.
22049 (octave-not-in-string-or-comment-p): Rename to
22050 octave-in-string-or-comment-p.
22051 (octave-in-comment-p, octave-in-string-p)
22052 (octave-in-string-or-comment-p): Replace defsubst with defun.
22054 2013-04-25 Paul Eggert <eggert@cs.ucla.edu>
22056 * Makefile.in (distclean): Remove $(lisp)/loaddefs.el~.
22058 2013-04-25 Bastien Guerry <bzg@gnu.org>
22060 * textmodes/remember.el (remember-data-directory)
22061 (remember-directory-file-name-format): Fix custom types.
22063 2013-04-25 Leo Liu <sdl.web@gmail.com>
22065 * progmodes/octave.el (octave-completion-at-point-function):
22066 Make use of inferior octave process.
22067 (octave-initialize-completions): Remove.
22068 (inferior-octave-completion-table): New function.
22069 (inferior-octave-completion-at-point): Use it.
22070 (octave-completion-alist): Remove.
22072 2013-04-25 Stefan Monnier <monnier@iro.umontreal.ca>
22074 * progmodes/opascal.el: Use font-lock and syntax-propertize.
22075 (opascal-mode-syntax-table): New var.
22076 (opascal-literal-kind, opascal-is-literal-end)
22077 (opascal-literal-token-at): Rewrite.
22078 (opascal--literal-start-re, opascal-font-lock-keywords)
22079 (opascal--syntax-propertize): New constants.
22080 (opascal-font-lock-defaults): Adjust.
22081 (opascal-mode): Use them. Set comment-<foo> variables as well.
22082 (delphi-comment-face, opascal-comment-face, delphi-string-face)
22083 (opascal-string-face, delphi-keyword-face, opascal-keyword-face)
22084 (delphi-other-face, opascal-other-face): Remove face variables.
22085 (opascal-save-state): Remove macro.
22086 (opascal-fontifying-progress-step): Remove constant.
22087 (opascal--ignore-changes): Remove var.
22088 (opascal-set-token-property, opascal-parse-next-literal)
22089 (opascal-is-stable-literal, opascal-complete-literal)
22090 (opascal-is-literal-start, opascal-face-of)
22091 (opascal-parse-region, opascal-parse-region-until-stable)
22092 (opascal-fontify-region, opascal-after-change)
22093 (opascal-debug-show-is-stable, opascal-debug-unparse-buffer)
22094 (opascal-debug-parse-region, opascal-debug-parse-window)
22095 (opascal-debug-parse-buffer, opascal-debug-fontify-window)
22096 (opascal-debug-fontify-buffer): Remove.
22097 (opascal-debug-mode-map): Adjust accordingly.
22099 2013-04-25 Leo Liu <sdl.web@gmail.com>
22101 Merge octave-mod.el and octave-inf.el into octave.el with some
22103 * progmodes/octave.el: New file renamed from octave-mod.el.
22104 * progmodes/octave-inf.el: Merged into octave.el.
22105 * progmodes/octave-mod.el: Renamed to octave.el.
22107 2013-04-25 Tassilo Horn <tsdh@gnu.org>
22109 * textmodes/reftex-vars.el
22110 (reftex-label-ignored-macros-and-environments): New defcustom.
22112 * textmodes/reftex-parse.el (reftex-parse-from-file): Use it.
22114 2013-04-25 Stefan Monnier <monnier@iro.umontreal.ca>
22116 * emacs-lisp/smie.el (smie-indent--hanging-p): Don't burp at EOB.
22117 (smie-indent-keyword): Improve the check to ensure that the next
22118 comment is really on the same line.
22119 (smie-indent-comment): Don't align with a subsequent closer (or eob).
22121 * progmodes/octave-mod.el (octave-smie-forward-token): Only emit
22122 semi-colons if the line is not otherwise empty (bug#14218).
22124 2013-04-25 Glenn Morris <rgm@gnu.org>
22126 * vc/vc-bzr.el (vc-bzr-print-log): Tweak LIMIT = 1 case.
22128 2013-04-24 Stefan Monnier <monnier@iro.umontreal.ca>
22130 * progmodes/opascal.el (opascal-set-token-property): Rename from
22131 opascal-set-text-properties and only set `token' (bug#14134).
22132 Suggested by Erik Knowles <eknowles@geosystemsoftware.com>.
22133 (opascal-literal-text-properties): Remove.
22134 (opascal-parse-next-literal, opascal-debug-unparse-buffer):
22137 2013-04-24 Reuben Thomas <rrt@sc3d.org>
22139 * textmodes/remember.el (remember-handler-functions): Add an
22140 option for a new handler `remember-store-in-files'.
22141 (remember-data-directory, remember-directory-file-name-format):
22143 (remember-store-in-files): New function to store remember notes
22144 as separate files within a directory.
22146 2013-04-24 Magnus Henoch <magnus.henoch@gmail.com>
22148 * progmodes/compile.el (compilation-next-error-function):
22149 Pass "formats" to compilation-find-file (bug#11777).
22151 2013-04-24 Glenn Morris <rgm@gnu.org>
22153 * vc/vc-bzr.el (vc-bzr-print-log):
22154 * vc/vc-hg.el (vc-hg-print-log):
22155 * vc/vc-svn.el (vc-svn-print-log):
22156 Fix START-REVISION with LIMIT != 1. (Bug#14168)
22158 * vc/vc-bzr.el (vc-bzr-print-log):
22159 * vc/vc-cvs.el (vc-cvs-print-log):
22160 * vc/vc-git.el (vc-git-print-log):
22161 * vc/vc-hg.el (vc-hg-print-log):
22162 * vc/vc-mtn.el (vc-mtn-print-log):
22163 * vc/vc-rcs.el (vc-rcs-print-log):
22164 * vc/vc-sccs.el (vc-sccs-print-log):
22165 * vc/vc-svn.el (vc-svn-print-log):
22166 * vc/vc.el (vc-print-log-internal): Doc fixes.
22168 2013-04-23 Glenn Morris <rgm@gnu.org>
22170 * startup.el (normal-no-mouse-startup-screen, normal-about-screen):
22171 Remove venerable code attempting to avoid substitute-command-keys.
22173 2013-04-23 Tassilo Horn <tsdh@gnu.org>
22175 * textmodes/reftex-vars.el (reftex-label-regexps):
22176 Call `reftex-compile-variables' after changes to this variable.
22178 2013-04-23 Stefan Monnier <monnier@iro.umontreal.ca>
22180 * jit-lock.el: Fix signals in jit-lock-force-redisplay (bug#13542).
22181 Use lexical-binding.
22182 (jit-lock-force-redisplay): Use markers, check buffer's continued
22183 existence and beware narrowed buffers.
22184 (jit-lock-fontify-now): Adjust call accordingly.
22186 2013-04-22 Stefan Monnier <monnier@iro.umontreal.ca>
22188 * minibuffer.el (minibuffer-completion-contents): Fix obsolescence info
22189 to avoid misleading the user.
22191 2013-04-22 Leo Liu <sdl.web@gmail.com>
22193 * info-look.el: Prefer latex2e.info. (Bug#14240)
22195 2013-04-22 Michael Albinus <michael.albinus@gmx.de>
22197 Fix pack/unpack coding. Reported by David Smith <davidsmith@acm.org>.
22199 * net/tramp-compat.el (tramp-compat-call-process): Move function ...
22200 * net/tramp.el (tramp-call-process): ... here.
22201 (tramp-set-completion-function, tramp-parse-putty):
22202 * net/tramp-adb.el (tramp-adb-execute-adb-command):
22203 * net/tramp-gvfs.el (tramp-gvfs-send-command):
22204 * net/tramp-sh.el (tramp-sh-handle-set-file-times)
22205 (tramp-set-file-uid-gid, tramp-sh-handle-write-region)
22206 (tramp-call-local-coding-command): Use `tramp-call-process'
22207 instead of `tramp-compat-call-process'.
22209 * net/tramp-sh.el (tramp-perl-pack, tramp-perl-unpack): New defconst.
22210 (tramp-local-coding-commands, tramp-remote-coding-commands): Use them.
22211 (tramp-sh-handle-file-local-copy, tramp-sh-handle-write-region)
22212 (tramp-find-inline-compress): Improve traces.
22213 (tramp-maybe-send-script): Check for Perl binary.
22214 (tramp-get-inline-coding): Do not redirect STDOUT for local decoding.
22216 2013-04-22 Daiki Ueno <ueno@gnu.org>
22218 * epg.el (epg-context-pinentry-mode): New function.
22219 (epg-context-set-pinentry-mode): New function.
22220 (epg--start): Pass --pinentry-mode option to gpg command.
22222 2013-04-21 Xue Fuqiao <xfq.free@gmail.com>
22224 * comint.el (comint-dynamic-complete-functions, comint-mode-map):
22225 `comint-dynamic-complete' is obsolete since 24.1, replaced by
22226 `completion-at-point'. (Bug#13774)
22228 * startup.el (normal-no-mouse-startup-screen): Bug fix, the
22229 default key binding for `describe-distribution' has been moved to
22230 `C-h C-o'. (Bug#13970)
22232 2013-04-21 Glenn Morris <rgm@gnu.org>
22234 * vc/vc.el (vc-print-log-setup-buttons, vc-print-log-internal):
22236 (vc-print-log): Clarify interactive prompt.
22238 2013-04-20 Glenn Morris <rgm@gnu.org>
22240 * emacs-lisp/bytecomp.el (byte-compile-insert-header):
22241 No longer include timestamp etc information.
22243 2013-04-20 Roland Winkler <winkler@gnu.org>
22245 * faces.el (read-face-name): Bug fix, return just one face if arg
22246 multiple is nil. (Bug#14209)
22248 2013-04-20 Stefan Monnier <monnier@iro.umontreal.ca>
22250 * emacs-lisp/nadvice.el (advice--where-alist): Add :override.
22251 (remove-function): Autoload.
22253 * comint.el (comint-redirect-original-filter-function): Remove.
22254 (comint-redirect-cleanup, comint-redirect-send-command-to-process):
22255 * vc/vc-cvs.el (vc-cvs-annotate-process-filter)
22256 (vc-cvs-annotate-command):
22257 * progmodes/octave-inf.el (inferior-octave-send-list-and-digest):
22258 * progmodes/prolog.el (prolog-consult-compile):
22259 * progmodes/gdb-mi.el (gdb, gdb--check-interpreter):
22260 Use add/remove-function instead.
22261 * progmodes/gud.el (gud-tooltip-original-filter): Remove.
22262 (gud-tooltip-process-output, gud-tooltip-tips):
22263 Use add/remove-function instead.
22264 * progmodes/xscheme.el (xscheme-previous-process-state): Remove.
22265 (scheme-interaction-mode, exit-scheme-interaction-mode):
22266 Use add/remove-function instead.
22268 * vc/vc-dispatcher.el: Use lexical-binding.
22269 (vc--process-sentinel): Rename from vc-process-sentinel.
22270 Change last arg to be the code to run. Don't use vc-previous-sentinel
22271 and vc-sentinel-commands any more.
22272 (vc-exec-after): Allow code to be a function. Use add/remove-function.
22273 (compilation-error-regexp-alist, view-old-buffer-read-only): Declare.
22275 2013-04-19 Masatake YAMATO <yamato@redhat.com>
22277 * progmodes/sh-script.el (sh-imenu-generic-expression):
22278 Handle function names with a single character. (Bug#14111)
22280 2013-04-19 Dima Kogan <dima@secretsauce.net> (tiny change)
22282 * progmodes/gud.el (gud-perldb-marker-filter): Understand position info
22283 for subroutines defined in an eval (bug#14182).
22285 2013-04-19 Thierry Volpiatto <thierry.volpiatto@gmail.com>
22287 * bookmark.el (bookmark-completing-read): Improve handling of empty
22288 string (bug#14176).
22290 2013-04-19 Stefan Monnier <monnier@iro.umontreal.ca>
22292 * vc/vc-dispatcher.el (vc-do-command): Get rid of default sentinel msg.
22294 2013-04-19 Fabián Ezequiel Gallina <fgallina@gnu.org>
22296 New faster Imenu implementation (bug#14058).
22297 * progmodes/python.el (python-imenu-prev-index-position)
22298 (python-imenu-format-item-label-function)
22299 (python-imenu-format-parent-item-label-function)
22300 (python-imenu-format-parent-item-jump-label-function):
22302 (python-imenu-format-item-label)
22303 (python-imenu-format-parent-item-label)
22304 (python-imenu-format-parent-item-jump-label)
22305 (python-imenu--put-parent, python-imenu--build-tree)
22306 (python-imenu-create-index, python-imenu-create-flat-index)
22307 (python-util-popn): New functions.
22308 (python-mode): Set imenu-create-index-function to
22309 python-imenu-create-index.
22311 2013-04-18 Stefan Monnier <monnier@iro.umontreal.ca>
22313 * winner.el (winner-active-region): Use region-active-p, activate-mark
22314 and deactivate-mark (bug#14225).
22316 * simple.el (deactivate-mark): Don't inline it.
22318 2013-04-18 Michael Albinus <michael.albinus@gmx.de>
22320 * net/tramp-sh.el (tramp-remote-process-environment): Add "TMOUT=0".
22322 2013-04-18 Tassilo Horn <tsdh@gnu.org>
22324 * files.el (auto-mode-alist): Delete OpenDocument and StarOffice
22325 file extensions from the archive-mode entry in order to prefer
22326 doc-view-mode-maybe with archive-mode as fallback (bug#14188).
22328 2013-04-18 Leo Liu <sdl.web@gmail.com>
22330 * bindings.el (help-event-list): Add ?\?.
22332 2013-04-18 Stefan Monnier <monnier@iro.umontreal.ca>
22334 * subr.el (with-wrapper-hook): Declare obsolete.
22335 * simple.el (filter-buffer-substring-function): New hook.
22336 (filter-buffer-substring): Use it.
22337 (filter-buffer-substring-functions): Mark obsolete.
22338 * minibuffer.el (completion-in-region-function): New hook.
22339 (completion-in-region): Use it.
22340 (completion-in-region-functions): Mark obsolete.
22341 * mail/mailabbrev.el (mail-abbrevs-setup): Use abbrev-expand-function.
22342 * abbrev.el (abbrev-expand-function): New hook.
22343 (expand-abbrev): Use it.
22344 (abbrev-expand-functions): Mark obsolete.
22345 * emacs-lisp/nadvice.el (advice--where-alist): Add :filter-args
22346 and :filter-return.
22348 2013-04-17 Fabián Ezequiel Gallina <fgallina@gnu.org>
22350 * progmodes/python.el (python-nav--syntactically): Fix cornercases
22351 and do not care about match data.
22353 2013-04-17 Stefan Monnier <monnier@iro.umontreal.ca>
22355 * emacs-lisp/lisp.el (lisp-completion-at-point): Provide specialized
22356 completion tables when completing error conditions and
22357 `declare' arguments.
22358 (lisp-complete-symbol, field-complete): Mark as obsolete.
22359 (check-parens): Unmatched parens are user errors.
22360 * minibuffer.el (minibuffer-completion-contents): Mark as obsolete.
22362 2013-04-17 Michal Nazarewicz <mina86@mina86.com>
22364 * textmodes/flyspell.el (flyspell-check-pre-word-p): Return nil if
22365 command changed buffer (ie. `flyspell-pre-buffer' is not current
22366 buffer), which prevents making decisions based on invalid value of
22367 `flyspell-pre-point' in the wrong buffer. Most notably, this used to
22368 cause an error when `flyspell-pre-point' was nil after switching
22370 (flyspell-post-command-hook): No longer needs to change buffers when
22371 checking pre-word. While at it remove unnecessary progn.
22373 2013-04-17 Nicolas Richard <theonewiththeevillook@yahoo.fr> (tiny change)
22375 * textmodes/ispell.el (ispell-add-per-file-word-list):
22376 Fix `flyspell-correct-word-before-point' error when accepting
22377 words and `coment-padding' is an integer by using
22378 `comment-normalize-vars' (Bug #14214).
22380 2013-04-17 Fabián Ezequiel Gallina <fgallina@gnu.org>
22382 New defun movement commands.
22383 * progmodes/python.el (python-nav--syntactically)
22384 (python-nav--forward-defun, python-nav-backward-defun)
22385 (python-nav-forward-defun): New functions.
22387 2013-04-17 Fabián Ezequiel Gallina <fgallina@gnu.org>
22389 * progmodes/python.el (python-syntax--context-compiler-macro): New defun.
22390 (python-syntax-context): Use named compiler-macro for backwards
22391 compatibility with Emacs 24.x.
22393 2013-04-17 Leo Liu <sdl.web@gmail.com>
22395 * progmodes/octave-mod.el (octave-mode-map): Fix key binding to
22396 octave-hide-process-buffer.
22398 2013-04-17 Stefan Monnier <monnier@iro.umontreal.ca>
22400 * vc/vc-hg.el (vc-hg-annotate-re): Disallow ": " in file names
22403 2013-04-17 Jean-Philippe Gravel <jpgravel@gmail.com>
22405 * progmodes/gdb-mi.el (gdbmi-bnf-incomplete-record-result):
22406 Fix adjustment of offset when receiving incomplete responses from GDB
22409 2013-04-16 Stefan Monnier <monnier@iro.umontreal.ca>
22411 * progmodes/python.el (python-mode-skeleton-abbrev-table): Rename from
22412 python-mode-abbrev-table.
22413 (python-skeleton-define): Adjust accordingly.
22414 (python-mode-abbrev-table): New table that inherits from it so that
22415 python-skeleton-autoinsert does not affect non-skeleton abbrevs.
22417 * abbrev.el (abbrev--symbol): New function, extracted from abbrev-symbol.
22418 (abbrev-symbol): Use it.
22419 (abbrev--before-point): Use it since we already handle inheritance.
22421 2013-04-16 Leo Liu <sdl.web@gmail.com>
22423 * progmodes/octave-mod.el (octave-mode-map): Remove redundant key
22424 binding to info-lookup-symbol.
22426 2013-04-16 Juanma Barranquero <lekktu@gmail.com>
22428 * minibuffer.el (completion--twq-all):
22429 * term/ns-win.el (ns-initialize-window-system):
22430 * term/w32-win.el (w32-initialize-window-system): Silence byte-compiler.
22432 2013-04-16 Stefan Monnier <monnier@iro.umontreal.ca>
22434 * emacs-lisp/nadvice.el (add-function): Default simple vars to their
22437 * doc-view.el (doc-view-start-process): Handle url-handler directories.
22439 2013-04-15 Dmitry Gutov <dgutov@yandex.ru>
22441 * progmodes/ruby-mode.el (ruby-beginning-of-defun)
22442 (ruby-end-of-defun, ruby-move-to-block): Bind `case-fold-search'
22444 (ruby-end-of-defun): Remove the unused arg, change the docstring
22445 to reflect that this function is only used as the value of
22446 `end-of-defun-function'.
22447 (ruby-beginning-of-defun): Remove "top-level" from the docstring,
22448 to reflect an earlier change that beginning/end-of-defun functions
22449 jump between methods in a class definition, as well as top-level
22452 2013-04-15 Stefan Monnier <monnier@iro.umontreal.ca>
22454 * minibuffer.el (minibuffer-complete): Don't just scroll
22455 a *Completions* that's been iconified.
22456 (minibuffer-force-complete): Make sure repetitions do cycle when going
22457 through completion-in-region -> minibuffer-complete.
22459 2013-04-15 Alan Mackenzie <acm@muc.de>
22461 Correct the placement of c-cpp-delimiters when there're #s not at
22464 * progmodes/cc-langs.el (c-anchored-cpp-prefix): Reformulate and
22465 place a submatch around the #.
22466 * progmodes/cc-mode.el(c-neutralize-syntax-in-and-mark-CPP):
22467 Start a search at BOL. Put the c-cpp-delimiter category text propertiy
22470 2013-04-15 Stefan Monnier <monnier@iro.umontreal.ca>
22472 * emacs-lisp/nadvice.el: Properly test names when adding advice.
22473 (advice--member-p): New arg `name'.
22474 (advice--add-function, advice-member-p): Use it (bug#14202).
22476 2013-04-15 Filipp Gunbin <fgunbin@fastmail.fm>
22478 Reformulate java imenu-generic-expression.
22479 The old expression contained ill formed regexps.
22481 * progmodes/cc-menus.el (cc-imenu-java-ellipsis-regexp)
22482 (cc-imenu-java-type-spec-regexp, cc-imenu-java-comment-regexp)
22483 (cc-imenu-java-method-arg-regexp): New defconsts.
22484 (cc-imenu-java-build-type-args-regex): New defun.
22485 (cc-imenu-java-generic-expression): Fix, to remove "ambiguous"
22486 handling of spaces in the regexp.
22488 2013-03-15 Agustín Martín Domingo <agustin.martin@hispalinux.es>
22490 * textmodes/ispell.el (ispell-command-loop): Remove
22491 flyspell highlight of a word when ispell accepts it (bug #14178).
22493 2013-04-15 Michael Albinus <michael.albinus@gmx.de>
22495 * net/ange-ftp.el (ange-ftp-run-real-handler-orig): New defun,
22496 uses code from the previous `ange-ftp-run-real-handler'.
22497 (ange-ftp-run-real-handler): Set it to `tramp-run-real-handler'
22498 only in case that function exist. This is needed for proper
22499 unloading of Tramp.
22501 2013-04-15 Tassilo Horn <tsdh@gnu.org>
22503 * textmodes/reftex-vars.el (reftex-label-regexps): New defcustom.
22505 * textmodes/reftex.el (reftex-compile-variables): Use it.
22507 2013-04-14 Stefan Monnier <monnier@iro.umontreal.ca>
22509 * files.el (normal-mode): Only use default major-mode if no other mode
22512 * emacs-lisp/trace.el (trace-values): New function.
22514 * files.el: Allow : in local variables (bug#14089).
22515 (hack-local-variable-regexp): New var.
22516 (hack-local-variables-prop-line, hack-local-variables): Use it.
22518 2013-04-13 Roland Winkler <winkler@gnu.org>
22520 * textmodes/bibtex.el (bibtex-search-entries): Bug fix. Use match
22521 data before it gets modified by bibtex-beginning-of-entry.
22523 2013-04-13 Roland Winkler <winkler@gnu.org>
22525 * textmodes/bibtex.el (bibtex-url): Doc fix.
22527 2013-04-13 Roland Winkler <winkler@gnu.org>
22529 * textmodes/bibtex.el (bibtex-initialize): If the current buffer
22530 does not visit a BibTeX file, exclude it from the list of buffers
22531 returned by bibtex-initialize.
22533 2013-04-13 Stephen Berman <stephen.berman@gmx.net>
22535 * window.el (split-window): Remove interactive form, since as a
22536 command this function is a special case of split-window-below.
22537 Correct doc string.
22539 2013-04-12 Roland Winkler <winkler@gnu.org>
22541 * faces.el (read-face-name): Do not override value of arg default.
22542 Allow single faces and strings as default values. Remove those
22543 elements from return value that are not faces.
22544 (describe-face): Simplify.
22545 (face-at-point): New optional args thing and multiple so that this
22546 function can provide the same functionality previously provided by
22548 (make-face-bold, make-face-unbold, make-face-italic)
22549 (make-face-unitalic, make-face-bold-italic, invert-face)
22550 (modify-face, read-face-and-attribute): Use face-at-point.
22552 * cus-edit.el (customize-face, customize-face-other-window)
22553 * cus-theme.el (custom-theme-add-face)
22554 * face-remap.el (buffer-face-set)
22555 * facemenu.el (facemenu-set-face): Use face-at-point.
22557 2013-04-12 Michael Albinus <michael.albinus@gmx.de>
22559 * info.el (Info-file-list-for-emacs): Add "tramp" and "dbus".
22561 2013-04-10 Tassilo Horn <tsdh@gnu.org>
22563 * textmodes/reftex-cite.el (reftex-parse-bibtex-entry): Don't cut
22564 off leading { and trailing } from field values.
22566 2013-04-10 Stefan Monnier <monnier@iro.umontreal.ca>
22568 * emacs-lisp/timer.el (timer--check): New function.
22569 (timer--time, timer-set-function, timer-event-handler): Use it.
22570 (timer-set-idle-time): Simplify.
22571 (timer--activate): CSE.
22572 (timer-event-handler): Give more info in error message.
22573 (internal-timer-start-idle): New function, moved from C.
22575 * mpc.el (mpc-proc): Add `restart' argument.
22576 (mpc-proc-cmd): Use it.
22577 (mpc--status-timer-run): Also catch signals from `mpc-proc'.
22578 (mpc-status-buffer-show, mpc-tagbrowser-dir-toggle): Call `mpc-proc'
22581 2013-04-10 Masatake YAMATO <yamato@redhat.com>
22583 * progmodes/sh-script.el: Implement `sh-mode' own
22584 `add-log-current-defun-function' (bug#14112).
22585 (sh-current-defun-name): New function.
22586 (sh-mode): Use the function.
22588 2013-04-09 Bastien Guerry <bzg@gnu.org>
22590 * simple.el (choose-completion-string): Fix docstring (bug#14163).
22592 2013-04-08 Stefan Monnier <monnier@iro.umontreal.ca>
22594 * emacs-lisp/edebug.el (edebug-mode): Fix typo (bug#14144).
22596 * emacs-lisp/timer.el (timer-event-handler): Don't retrigger a canceled
22599 2013-04-07 Nic Ferrier <nferrier@ferrier.me.uk>
22601 * emacs-lisp/ert.el (should, should-not, should-error): Add edebug
22604 2013-04-07 Leo Liu <sdl.web@gmail.com>
22606 * pcmpl-x.el: New file.
22608 2013-04-06 Dmitry Antipov <dmantipov@yandex.ru>
22610 Do not set x-display-name until X connection is established.
22611 This is needed to prevent from weird situation described at
22612 <http://lists.gnu.org/archive/html/emacs-devel/2013-04/msg00212.html>.
22613 * frame.el (make-frame): Set x-display-name after call to
22614 window system initialization function, not before.
22615 * term/x-win.el (x-initialize-window-system): Add optional
22616 display argument and use it.
22617 * term/w32-win.el (w32-initialize-window-system):
22618 * term/ns-win.el (ns-initialize-window-system):
22619 * term/pc-win.el (msdos-initialize-window-system):
22620 Add compatible optional display argument.
22622 2013-04-06 Eli Zaretskii <eliz@gnu.org>
22624 * files.el (normal-backup-enable-predicate): On MS-Windows and
22625 MS-DOS compare truenames of temporary-file-directory and of the
22626 file, so that 8+3 aliases (usually found in $TEMP on Windows)
22627 don't fail comparison by compare-strings. Also, compare file
22628 names case-insensitively on MS-Windows and MS-DOS.
22630 2013-04-05 Stefan Monnier <monnier@iro.umontreal.ca>
22632 * emacs-lisp/package.el (package-compute-transaction): Fix last fix.
22633 Suggested by Donald Curtis <dcurtis@coe.edu> (bug#14082).
22635 2013-04-05 Dmitry Gutov <dgutov@yandex.ru>
22637 * whitespace.el (whitespace-color-on, whitespace-color-off):
22638 Only call `font-lock-fontify-buffer' when `font-lock-mode' is on.
22640 2013-04-05 Jacek Chrząszcz <chrzaszcz@mimuw.edu.pl> (tiny change)
22642 * ispell.el (ispell-set-spellchecker-params):
22643 Really set `ispell-args' for all equivs.
22645 2013-04-05 Stefan Monnier <monnier@iro.umontreal.ca>
22647 * ido.el (ido-completions): Use extra elements of ido-decorations
22649 (ido-decorations): Update docstring.
22651 2013-04-05 Michael Albinus <michael.albinus@gmx.de>
22653 * autorevert.el (auto-revert-mode, auto-revert-tail-mode)
22654 (global-auto-revert-mode): Let-bind `auto-revert-use-notify' to
22655 nil during initialization, in order not to miss changes since the
22656 file was opened. (Bug#14140)
22658 2013-04-05 Leo Liu <sdl.web@gmail.com>
22660 * kmacro.el (kmacro-call-macro): Fix bug#14135.
22662 2013-04-05 Jay Belanger <jay.p.belanger@gmail.com>
22664 * calc/calc-units.el (calc-convert-units): Rewrite conditional.
22666 2013-04-04 Glenn Morris <rgm@gnu.org>
22668 * electric.el (electric-pair-inhibit-predicate): Add :version.
22670 2013-04-04 Stefan Monnier <monnier@iro.umontreal.ca>
22672 * emacs-lisp/package.el (package-compute-transaction): Fix ordering
22673 when a package is required several times (bug#14082).
22675 2013-04-04 Roland Winkler <winkler@gnu.org>
22677 * faces.el (read-face-name): Behave as promised by the docstring.
22678 Assume that arg default is a list of faces.
22679 (describe-face): Call read-face-name with list of default faces.
22681 2013-04-04 Thierry Volpiatto <thierry.volpiatto@gmail.com>
22683 * bookmark.el: Fix deletion of bookmarks (bug#13972).
22684 (bookmark-bmenu-list): Don't toggle filenames if alist is empty.
22685 (bookmark-bmenu-execute-deletions): Only skip first line if it's
22687 (bookmark-exit-hook-internal): Save even if list is empty.
22689 2013-04-04 Yann Hodique <yann.hodique@gmail.com> (tiny change)
22691 * emacs-lisp/package.el (package-pinned-packages): New var.
22692 (package--add-to-archive-contents): Obey it (bug#14118).
22694 2013-04-03 Alan Mackenzie <acm@muc.de>
22696 Handle `parse-partial-sexp' landing inside a comment opener (Bug#13244).
22697 Also adapt to the new values of element 7 of a parse state.
22699 * progmodes/cc-engine.el (c-state-pp-to-literal): New optional
22700 parameter `not-in-delimiter'. Handle being inside comment opener.
22701 (c-invalidate-state-cache-1): Reckon with an extra "invalid"
22702 character in case we're typing a '*' after a '/'.
22703 (c-literal-limits): Handle the awkward "not-in-delimiter" cond arm
22704 instead by passing the parameter to c-state-pp-to-literal.
22706 * progmodes/cc-fonts.el (c-font-lock-doc-comments): New handling
22707 for elt. 7 of a parse state.
22709 2013-04-01 Paul Eggert <eggert@cs.ucla.edu>
22711 Use UTF-8 for most files with non-ASCII characters (Bug#13936).
22712 * international/latin1-disp.el, international/mule-util.el:
22713 * language/cyril-util.el, language/european.el, language/ind-util.el:
22714 * language/lao-util.el, language/thai.el, language/tibet-util.el:
22715 * language/tibetan.el, language/viet-util.el:
22716 Switch from iso-2022-7bit to utf-8 or (if needed) utf-8-emacs.
22718 2013-04-01 Stefan Monnier <monnier@iro.umontreal.ca>
22720 * electric.el (electric-pair-inhibit-predicate): New var (bug#14000).
22721 (electric-pair-post-self-insert-function): Use it.
22722 (electric-pair-default-inhibit): New function, extracted from
22723 electric-pair-post-self-insert-function.
22725 2013-03-31 Roland Winkler <winkler@gnu.org>
22727 * emacs-lisp/crm.el (completing-read-multiple): Doc fix.
22729 2013-03-31 Stefan Monnier <monnier@iro.umontreal.ca>
22731 * hi-lock.el (hi-lock-mode): Cleanup after revert-buffer (bug#13891).
22733 2013-03-30 Fabián Ezequiel Gallina <fabian@anue.biz>
22735 Un-indent after "pass" and "return" statements (Bug#13888)
22736 * progmodes/python.el (python-indent-block-enders): New var.
22737 (python-indent-calculate-indentation): Use it.
22739 2013-03-30 Michael Albinus <michael.albinus@gmx.de>
22741 * net/tramp.el (tramp-drop-volume-letter): Make it an ordinary
22742 defun. Defining it as defalias could introduce too eager
22743 byte-compiler optimization. (Bug#14030)
22745 2013-03-30 Chong Yidong <cyd@gnu.org>
22747 * iswitchb.el (iswitchb-read-buffer): Fix typo.
22749 2013-03-30 Leo Liu <sdl.web@gmail.com>
22751 * kmacro.el (kmacro-call-macro): Add optional arg MACRO.
22752 (kmacro-execute-from-register): Pass the keyboard macro to
22753 kmacro-call-macro or repeating won't work correctly.
22755 2013-03-30 Teodor Zlatanov <tzz@lifelogs.com>
22757 * progmodes/subword.el: Back to using `forward-symbol'.
22759 * subr.el (forward-whitespace, forward-symbol)
22760 (forward-same-syntax): Move from thingatpt.el.
22762 2013-03-29 Leo Liu <sdl.web@gmail.com>
22764 * kmacro.el (kmacro-to-register): New command.
22765 (kmacro-execute-from-register): New function.
22766 (kmacro-keymap): Bind to 'x'. (Bug#14071)
22768 2013-03-29 Stefan Monnier <monnier@iro.umontreal.ca>
22770 * mpc.el: Use defvar-local and setq-local.
22771 (mpc--proc-connect): Connection failures are not bugs.
22772 (mpc-mode-map): `follow-link' only applies to the buffer's content.
22773 (mpc-volume-map): Bind to the up-events.
22775 2013-03-29 Teodor Zlatanov <tzz@lifelogs.com>
22777 * progmodes/subword.el (superword-mode): Use `forward-sexp'
22778 instead of `forward-symbol'.
22780 2013-03-28 Stefan Monnier <monnier@iro.umontreal.ca>
22782 * emacs-lisp/edebug.el (edebug-mode): Make it a minor mode.
22783 (edebug--recursive-edit): Use it.
22784 (edebug-kill-buffer): Don't let-bind kill-buffer-hook.
22785 (edebug-temp-display-freq-count): Don't let-bind buffer-read-only.
22787 2013-03-28 Leo Liu <sdl.web@gmail.com>
22789 * vc/vc-bzr.el (vc-bzr-revert): Don't backup. (Bug#14066)
22791 2013-03-27 Eli Zaretskii <eliz@gnu.org>
22793 * facemenu.el (list-colors-callback): New defvar.
22794 (list-colors-redisplay): New function.
22795 (list-colors-display): Install list-colors-redisplay as the
22796 revert-buffer-function. (Bug#14063)
22798 2013-03-27 Stefan Monnier <monnier@iro.umontreal.ca>
22800 * minibuffer.el (completion-pcm--merge-completions): Make sure prefixes
22801 and suffixes don't overlap (bug#14061).
22803 * case-table.el: Use lexical-binding.
22804 (case-table-get-table): New function.
22805 (get-upcase-table): Use it. Mark as obsolete. Adjust callers.
22807 2013-03-27 Teodor Zlatanov <tzz@lifelogs.com>
22809 * progmodes/subword.el: Add `superword-mode' to do word motion
22810 over symbol_words (parallels and leverages `subword-mode' which
22811 does word motion inside MixedCaseWords).
22813 2013-03-27 Aidan Gauland <aidalgol@no8wireless.co.nz>
22815 * eshell/em-unix.el: Move su and sudo to...
22816 * eshell/em-tramp.el: ...Eshell tramp module.
22818 2013-03-26 Stefan Monnier <monnier@iro.umontreal.ca>
22820 * desktop.el (desktop--v2s): Rename from desktop-internal-v2s.
22821 Change return value to be a sexp. Delay `get-buffer' to after
22822 restoring the desktop (bug#13951).
22824 2013-03-26 Leo Liu <sdl.web@gmail.com>
22826 * register.el: Move semantic tag handling back to
22827 cedet/semantic/senator.el. (Bug#14052)
22829 2013-03-26 Stefan Monnier <monnier@iro.umontreal.ca>
22831 * eshell/em-prompt.el (eshell-emit-prompt): Make sure we can't insert
22832 into the prompt either (bug#13963).
22834 2013-03-25 Stefan Monnier <monnier@iro.umontreal.ca>
22836 * font-lock.el (lisp-font-lock-keywords-2): Don't highlight the "error"
22837 part of "(error-foo)".
22839 2013-03-24 Juri Linkov <juri@jurta.org>
22841 * replace.el (list-matching-lines-prefix-face): New defcustom.
22842 (occur-1): Pass `list-matching-lines-prefix-face' to the function
22843 `occur-engine' if `face-differs-from-default-p' returns t.
22844 (occur-engine): Add `,' inside backquote construct to evaluate
22845 `prefix-face'. Propertize the prefix with the `prefix-face' face.
22846 Pass `prefix-face' to the functions `occur-context-lines' and
22847 `occur-engine-add-prefix'.
22848 (occur-engine-add-prefix, occur-context-lines): Add optional arg
22849 `prefix-face' and propertize the prefix with `prefix-face'.
22852 2013-03-24 Leo Liu <sdl.web@gmail.com>
22854 * nxml/rng-valid.el (rng-validate-while-idle)
22855 (rng-validate-quick-while-idle): Guard against deleted buffer.
22858 * emacs-lisp/edebug.el (edebug-mode): Make sure edebug-kill-buffer
22859 is the last entry in kill-buffer-hook.
22861 * files.el (kill-buffer-hook): Doc fix.
22863 2013-03-23 Dmitry Gutov <dgutov@yandex.ru>
22865 * emacs-lisp/lisp-mode.el (emacs-lisp-docstring-fill-column):
22866 Make it safe-local.
22868 * vc/diff-mode.el (diff-mode-shared-map): Unbind "/" (Bug#14034).
22870 2013-03-23 Leo Liu <sdl.web@gmail.com>
22872 * nxml/nxml-util.el (nxml-with-unmodifying-text-property-changes):
22875 * nxml/rng-valid.el (rng-validate-mode)
22876 (rng-after-change-function, rng-do-some-validation):
22877 * nxml/rng-maint.el (rng-validate-buffer):
22878 * nxml/nxml-rap.el (nxml-tokenize-forward, nxml-ensure-scan-up-to-date):
22879 * nxml/nxml-outln.el (nxml-show-all, nxml-set-outline-state):
22880 * nxml/nxml-mode.el (nxml-mode, nxml-degrade, nxml-after-change)
22881 (nxml-extend-after-change-region): Use with-silent-modifications.
22883 * nxml/rng-nxml.el (rng-set-state-after): Do not let-bind
22886 * nxml/rng-valid.el (rng-validate-while-idle-continue-p)
22887 (rng-next-error-1, rng-previous-error-1): Do not let-bind
22888 timer-idle-list. (Bug#13999)
22890 2013-03-23 Juri Linkov <juri@jurta.org>
22892 * info.el (info-index-match): New face.
22893 (Info-index, Info-apropos-matches): Add a nested subgroup to the
22894 main pattern and add text properties with the new face to matches
22895 in index entries relative to the beginning of the index entry.
22898 2013-03-21 Eric Ludlam <zappo@gnu.org>
22900 * emacs-lisp/eieio-datadebug.el (data-debug/eieio-insert-slots):
22901 Inhibit read only while inserting objects.
22903 2013-03-22 Teodor Zlatanov <tzz@lifelogs.com>
22905 * progmodes/cfengine.el: Update docs to mention
22906 `cfengine-auto-mode'. Use \_> and \_< instead of \> and \< for
22907 symbol motion. Remove "_" from the word syntax.
22909 2013-03-21 Teodor Zlatanov <tzz@lifelogs.com>
22911 * progmodes/cfengine.el (cfengine-common-syntax): Add "_" to word
22912 syntax for both `cfengine2-mode' and `cfengine3-mode'.
22914 2013-03-20 Juri Linkov <juri@jurta.org>
22916 * info.el (Info-next-reference-or-link)
22917 (Info-prev-reference-or-link): New functions.
22918 (Info-next-reference, Info-prev-reference): Use them.
22919 (Info-try-follow-nearest-node): Handle footnote navigation.
22920 (Info-fontify-node): Fontify footnotes. (Bug#13989)
22922 2013-03-20 Stefan Monnier <monnier@iro.umontreal.ca>
22924 * subr.el (posn-point, posn-string): Fix it here instead (bug#13979).
22925 * mouse.el (mouse-on-link-p): Undo scroll-bar fix.
22927 2013-03-20 Paul Eggert <eggert@cs.ucla.edu>
22929 Suppress unnecessary non-ASCII chatter during build process.
22930 * international/ja-dic-cnv.el (skkdic-collect-okuri-nasi)
22931 (batch-skkdic-convert): Suppress most of the chatter.
22932 It's not needed so much now that machines are faster,
22933 and its non-ASCII component was confusing; see Dmitry Gutov in
22934 <http://lists.gnu.org/archive/html/emacs-devel/2013-03/msg00508.html>.
22936 2013-03-20 Leo Liu <sdl.web@gmail.com>
22938 * ido.el (ido-chop): Fix bug#10994.
22940 2013-03-19 Dmitry Gutov <dgutov@yandex.ru>
22942 * whitespace.el (whitespace-font-lock, whitespace-font-lock-mode):
22944 (whitespace-color-on, whitespace-color-off):
22945 Use `font-lock-fontify-buffer' (Bug#13817).
22947 2013-03-19 Stefan Monnier <monnier@iro.umontreal.ca>
22949 * mouse.el (mouse--down-1-maybe-follows-link): Fix follow-link
22950 remapping in mode-line.
22951 (mouse-on-link-p): Also check [mode-line follow-link] bindings.
22953 2013-03-19 Dmitry Gutov <dgutov@yandex.ru>
22955 * whitespace.el (whitespace-color-on): Use `prepend' OVERRIDE
22956 value for `whitespace-line' face (Bug#13875).
22957 (whitespace-font-lock-keywords): Change description.
22958 (whitespace-color-on): Don't save `font-lock-keywords' value, save
22959 the constructed keywords instead.
22960 (whitespace-color-off): Use `font-lock-remove-keywords' (Bug#13817).
22962 2013-03-19 Leo Liu <sdl.web@gmail.com>
22964 * progmodes/compile.el (compilation-display-error): New command.
22965 (compilation-mode-map, compilation-minor-mode-map): Bind it to
22968 2013-03-18 Paul Eggert <eggert@cs.ucla.edu>
22970 * term/x-win.el (x-keysym-pair): Add a Fixme (Bug#13936).
22972 2013-03-18 Jan Djärv <jan.h.d@swipnet.se>
22974 * mouse.el (mouse-on-link-p): Check for scroll bar (Bug#13979).
22976 2013-03-18 Michael Albinus <michael.albinus@gmx.de>
22978 * net/tramp-compat.el (tramp-compat-user-error): New defun.
22980 * net/tramp-adb.el (tramp-adb-handle-shell-command):
22981 * net/tramp-gvfs.el (top):
22982 * net/tramp.el (tramp-find-method, tramp-dissect-file-name)
22983 (tramp-handle-shell-command): Use it.
22984 (tramp-dissect-file-name): Raise an error when hostname is a
22985 method name, and neither method nor user is specified.
22987 * net/trampver.el: Update release number.
22989 2013-03-18 Leo Liu <sdl.web@gmail.com>
22991 Make sure eldoc can be turned off properly.
22992 * emacs-lisp/eldoc.el (eldoc-schedule-timer): Conditionalize on
22994 (eldoc-display-message-p): Revert last change.
22995 (eldoc-display-message-no-interference-p)
22996 (eldoc-print-current-symbol-info): Tweak.
22998 2013-03-18 Tassilo Horn <tsdh@gnu.org>
23000 * doc-view.el (doc-view-new-window-function): Check the new window
23001 overlay's display property instead the char property of the
23002 buffer's first char. Use `with-selected-window' instead of
23003 `save-window-excursion' with `select-window'.
23004 (doc-view-document->bitmap): Check the current doc-view overlay's
23005 display property instead the char property of the buffer's first char.
23007 2013-03-18 Paul Eggert <eggert@cs.ucla.edu>
23009 Automate the build of ja-dic.el (Bug#13984).
23010 * international/ja-dic-cnv.el (skkdic-convert): Remove the annotations
23011 from the input, rather than assume that it's been done for us by the
23012 SKK script unannotate.awk. Switch ja-dic.el to UTF-8. Don't put
23013 the current date into a ja-dic.el comment, as that complicates
23014 regression testing.
23016 2013-03-18 Stefan Monnier <monnier@iro.umontreal.ca>
23018 * whitespace.el: Fix double evaluation.
23019 (whitespace-space, whitespace-hspace, whitespace-tab)
23020 (whitespace-newline, whitespace-trailing, whitespace-line)
23021 (whitespace-space-before-tab, whitespace-indentation)
23022 (whitespace-empty, whitespace-space-after-tab): Turn defcustoms into
23024 (whitespace-hspace-regexp): Fix regexp for emacs-unicode.
23025 (whitespace-color-on): Use a single font-lock-add-keywords call.
23026 Fix double-evaluation of face variables.
23028 2013-03-17 Michael Albinus <michael.albinus@gmx.de>
23030 * net/tramp-adb.el (tramp-adb-parse-device-names):
23031 Use `start-process' instead of `call-process'. Otherwise, the
23032 function might be blocked under MS Windows. (Bug#13299)
23034 2013-03-17 Leo Liu <sdl.web@gmail.com>
23036 Extend eldoc to display info in the mode-line. (Bug#13978)
23037 * emacs-lisp/eldoc.el (eldoc-post-insert-mode): New minor mode.
23038 (eldoc-mode-line-string): New variable.
23039 (eldoc-minibuffer-message): New function.
23040 (eldoc-message-function): New variable.
23041 (eldoc-message): Use it.
23042 (eldoc-display-message-p)
23043 (eldoc-display-message-no-interference-p):
23044 Support eldoc-post-insert-mode.
23046 * simple.el (eval-expression-minibuffer-setup-hook): New hook.
23047 (eval-expression): Run it.
23049 2013-03-17 Roland Winkler <winkler@gnu.org>
23051 * emacs-lisp/crm.el (completing-read-multiple): Ignore empty
23052 strings in the list of return values.
23054 2013-03-17 Jay Belanger <jay.p.belanger@gmail.com>
23056 * calc/calc-ext.el (math-read-number-fancy): Check for an explicit
23057 radix before checking for HMS forms.
23059 2013-03-16 Leo Liu <sdl.web@gmail.com>
23061 * progmodes/scheme.el: Add indentation and font-locking for λ.
23064 2013-03-16 Stefan Monnier <monnier@iro.umontreal.ca>
23066 * emacs-lisp/smie.el (smie-auto-fill): Don't inf-loop if there's no
23067 token before point (bug#13942).
23069 2013-03-16 Leo Liu <sdl.web@gmail.com>
23071 * thingatpt.el (end-of-sexp): Fix bug#13952. Use syntax-after.
23073 2013-03-16 Eli Zaretskii <eliz@gnu.org>
23075 * startup.el (command-line-normalize-file-name): Fix handling of
23076 backslashes in DOS and Windows file names. Reported by Xue Fuqiao
23077 <xfq.free@gmail.com> in
23078 http://lists.gnu.org/archive/html/help-gnu-emacs/2013-03/msg00245.html.
23080 2013-03-15 Michael Albinus <michael.albinus@gmx.de>
23082 Sync with Tramp 2.2.7.
23084 * net/trampver.el: Update release number.
23086 2013-03-14 Tassilo Horn <tsdh@gnu.org>
23088 * doc-view.el: Fix bug#13887.
23089 (doc-view-insert-image): Don't modify overlay associated to
23090 non-live windows, and implement horizontal centering of image in
23091 case it's smaller than the window.
23092 (doc-view-new-window-function): Force redisplay of new windows on
23095 2013-03-13 Karl Fogel <kfogel@red-bean.com>
23097 * saveplace.el (save-place-alist-to-file): Don't sort
23098 `save-place-alist', just pretty-print it (bug#13882).
23100 2013-03-13 Michael Albinus <michael.albinus@gmx.de>
23102 * net/tramp-sh.el (tramp-sh-handle-insert-directory):
23103 Check whether `default-file-name-coding-system' is bound.
23104 It isn't in XEmacs.
23106 2013-03-13 Stefan Monnier <monnier@iro.umontreal.ca>
23108 * emacs-lisp/byte-run.el (defun-declarations-alist): Don't use
23109 backquotes for `obsolete' (bug#13929).
23111 * international/mule.el (find-auto-coding): Include file name in
23112 obsolescence warning (bug#13922).
23114 2013-03-12 Teodor Zlatanov <tzz@lifelogs.com>
23116 * progmodes/cfengine.el (cfengine-parameters-indent): New variable
23117 for CFEngine 3-specific indentation.
23118 (cfengine3-indent-line): Use it. Fix up category regex.
23119 (cfengine3-font-lock-keywords): Add bundle and namespace characters.
23121 2013-03-12 Stefan Monnier <monnier@iro.umontreal.ca>
23123 * type-break.el (type-break-file-name):
23124 * textmodes/remember.el (remember-data-file):
23125 * strokes.el (strokes-file):
23126 * shadowfile.el (shadow-initialize):
23127 * saveplace.el (save-place-file):
23128 * ps-bdf.el (bdf-cache-file):
23129 * progmodes/idlwave.el (idlwave-config-directory):
23130 * net/quickurl.el (quickurl-url-file):
23131 * international/kkc.el (kkc-init-file-name):
23132 * ido.el (ido-save-directory-list-file):
23133 * emulation/viper.el (viper-custom-file-name):
23134 * emulation/vip.el (vip-startup-file):
23135 * calendar/todo-mode.el (todo-file-do, todo-file-done, todo-file-top):
23136 * calendar/timeclock.el (timeclock-file): Use locate-user-emacs-file.
23138 2013-03-12 Paul Eggert <eggert@cs.ucla.edu>
23140 Switch encodings of tutorials, thai-word to UTF-8 (Bug#13880).
23141 * language/thai-word.el: Switch to UTF-8.
23143 See ChangeLog.16 for earlier changes.
23145 ;; Local Variables:
23149 Copyright (C) 2011-2015 Free Software Foundation, Inc.
23151 This file is part of GNU Emacs.
23153 GNU Emacs is free software: you can redistribute it and/or modify
23154 it under the terms of the GNU General Public License as published by
23155 the Free Software Foundation, either version 3 of the License, or
23156 (at your option) any later version.
23158 GNU Emacs is distributed in the hope that it will be useful,
23159 but WITHOUT ANY WARRANTY; without even the implied warranty of
23160 MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
23161 GNU General Public License for more details.
23163 You should have received a copy of the GNU General Public License
23164 along with GNU Emacs. If not, see <http://www.gnu.org/licenses/>.